valveboard/firmware/simulation/modelsim/verilog_libs/altera_mf_ver
2021-11-22 00:34:34 +08:00
..
@a@l@t@e@r@a_@d@e@v@i@c@e_@f@a@m@i@l@i@e@s init branch 2021-11-22 00:34:34 +08:00
@a@l@t@e@r@a_@m@f_@h@i@n@t_@e@v@a@l@u@a@t@i@o@n init branch 2021-11-22 00:34:34 +08:00
@a@l@t@e@r@a_@m@f_@m@e@m@o@r@y_@i@n@i@t@i@a@l@i@z@a@t@i@o@n init branch 2021-11-22 00:34:34 +08:00
@m@f_cycloneiii_pll init branch 2021-11-22 00:34:34 +08:00
@m@f_cycloneiiigl_m_cntr init branch 2021-11-22 00:34:34 +08:00
@m@f_cycloneiiigl_n_cntr init branch 2021-11-22 00:34:34 +08:00
@m@f_cycloneiiigl_pll init branch 2021-11-22 00:34:34 +08:00
@m@f_cycloneiiigl_scale_cntr init branch 2021-11-22 00:34:34 +08:00
@m@f_pll_reg init branch 2021-11-22 00:34:34 +08:00
@m@f_stratix_pll init branch 2021-11-22 00:34:34 +08:00
@m@f_stratixii_pll init branch 2021-11-22 00:34:34 +08:00
@m@f_stratixiii_pll init branch 2021-11-22 00:34:34 +08:00
a_graycounter init branch 2021-11-22 00:34:34 +08:00
alt3pram init branch 2021-11-22 00:34:34 +08:00
alt_aeq_s4 init branch 2021-11-22 00:34:34 +08:00
alt_cal init branch 2021-11-22 00:34:34 +08:00
alt_cal_c3gxb init branch 2021-11-22 00:34:34 +08:00
alt_cal_mm init branch 2021-11-22 00:34:34 +08:00
alt_cal_sv init branch 2021-11-22 00:34:34 +08:00
alt_dfe init branch 2021-11-22 00:34:34 +08:00
alt_eyemon init branch 2021-11-22 00:34:34 +08:00
altaccumulate init branch 2021-11-22 00:34:34 +08:00
altclklock init branch 2021-11-22 00:34:34 +08:00
altddio_bidir init branch 2021-11-22 00:34:34 +08:00
altddio_in init branch 2021-11-22 00:34:34 +08:00
altddio_out init branch 2021-11-22 00:34:34 +08:00
altdpram init branch 2021-11-22 00:34:34 +08:00
altera_std_synchronizer init branch 2021-11-22 00:34:34 +08:00
altera_std_synchronizer_bundle init branch 2021-11-22 00:34:34 +08:00
altfp_mult init branch 2021-11-22 00:34:34 +08:00
altlvds_rx init branch 2021-11-22 00:34:34 +08:00
altlvds_tx init branch 2021-11-22 00:34:34 +08:00
altmult_accum init branch 2021-11-22 00:34:34 +08:00
altmult_add init branch 2021-11-22 00:34:34 +08:00
altparallel_flash_loader init branch 2021-11-22 00:34:34 +08:00
altpll init branch 2021-11-22 00:34:34 +08:00
altserial_flash_loader init branch 2021-11-22 00:34:34 +08:00
altshift_taps init branch 2021-11-22 00:34:34 +08:00
altsource_probe init branch 2021-11-22 00:34:34 +08:00
altsqrt init branch 2021-11-22 00:34:34 +08:00
altsquare init branch 2021-11-22 00:34:34 +08:00
altstratixii_oct init branch 2021-11-22 00:34:34 +08:00
altsyncram init branch 2021-11-22 00:34:34 +08:00
arm_m_cntr init branch 2021-11-22 00:34:34 +08:00
arm_n_cntr init branch 2021-11-22 00:34:34 +08:00
arm_scale_cntr init branch 2021-11-22 00:34:34 +08:00
cda_m_cntr init branch 2021-11-22 00:34:34 +08:00
cda_n_cntr init branch 2021-11-22 00:34:34 +08:00
cda_scale_cntr init branch 2021-11-22 00:34:34 +08:00
cycloneiiigl_post_divider init branch 2021-11-22 00:34:34 +08:00
dcfifo init branch 2021-11-22 00:34:34 +08:00
dcfifo_async init branch 2021-11-22 00:34:34 +08:00
dcfifo_dffpipe init branch 2021-11-22 00:34:34 +08:00
dcfifo_fefifo init branch 2021-11-22 00:34:34 +08:00
dcfifo_low_latency init branch 2021-11-22 00:34:34 +08:00
dcfifo_mixed_widths init branch 2021-11-22 00:34:34 +08:00
dcfifo_sync init branch 2021-11-22 00:34:34 +08:00
dffp init branch 2021-11-22 00:34:34 +08:00
dummy_hub init branch 2021-11-22 00:34:34 +08:00
flexible_lvds_rx init branch 2021-11-22 00:34:34 +08:00
flexible_lvds_tx init branch 2021-11-22 00:34:34 +08:00
jtag_tap_controller init branch 2021-11-22 00:34:34 +08:00
lcell init branch 2021-11-22 00:34:34 +08:00
parallel_add init branch 2021-11-22 00:34:34 +08:00
pll_iobuf init branch 2021-11-22 00:34:34 +08:00
scfifo init branch 2021-11-22 00:34:34 +08:00
signal_gen init branch 2021-11-22 00:34:34 +08:00
sld_signaltap init branch 2021-11-22 00:34:34 +08:00
sld_virtual_jtag init branch 2021-11-22 00:34:34 +08:00
sld_virtual_jtag_basic init branch 2021-11-22 00:34:34 +08:00
stratix_lvds_rx init branch 2021-11-22 00:34:34 +08:00
stratix_tx_outclk init branch 2021-11-22 00:34:34 +08:00
stratixgx_dpa_lvds_rx init branch 2021-11-22 00:34:34 +08:00
stratixii_lvds_rx init branch 2021-11-22 00:34:34 +08:00
stratixii_tx_outclk init branch 2021-11-22 00:34:34 +08:00
stratixiii_lvds_rx init branch 2021-11-22 00:34:34 +08:00
stratixiii_lvds_rx_channel init branch 2021-11-22 00:34:34 +08:00
stratixiii_lvds_rx_dpa init branch 2021-11-22 00:34:34 +08:00
stx_m_cntr init branch 2021-11-22 00:34:34 +08:00
stx_n_cntr init branch 2021-11-22 00:34:34 +08:00
stx_scale_cntr init branch 2021-11-22 00:34:34 +08:00
ttn_m_cntr init branch 2021-11-22 00:34:34 +08:00
ttn_n_cntr init branch 2021-11-22 00:34:34 +08:00
ttn_scale_cntr init branch 2021-11-22 00:34:34 +08:00
_info init branch 2021-11-22 00:34:34 +08:00
_vmake init branch 2021-11-22 00:34:34 +08:00