Compare commits

...

5 Commits

Author SHA1 Message Date
MIAOW
39bb13a2ff
更新了版本说明 2022-08-31 14:53:55 +08:00
Miaow
6201402bb4 确认了不需要长时间开启保护 issue#6 2022-08-31 14:46:32 +08:00
Miaow
6af8dfd09c 每路阀添加单独的开启超时机制
改动了固件
2022-08-24 22:10:11 +08:00
Miaow
054f1e9495 固件中添加了高电压抑制
如果阀已经是开的,就不再施加加速开启的高电压
2022-08-24 13:27:43 +08:00
Miaow
89bf50ea46 整理了原理图 修改了PCB
1. 添加了防反接电路
2. 删除了保险丝
3. 增大了喷阀走线载流能力
2022-07-26 20:47:43 +08:00
53 changed files with 1256 additions and 1801 deletions

View File

@ -13,7 +13,7 @@
## 版本
由于阀板经常有不同类型的新要求出现比如24路阀板、32路阀板、控制不同参数的新阀因此不同的阀板型号注意不是更新比如阀板上添加级联接口属于更新应建立不同的分支**分支无实际意义**
由于阀板经常有不同类型的新要求出现比如24路阀板、32路阀板、控制不同参数的新阀因此不同的阀板型号注意不是更新比如阀板上添加级联接口属于更新应建立不同的分支**[b01-c48-ponlytest-vgeneral](https://github.com/NanjingForestryUniversity/valveboard/tree/b01-c48-ponlytest-vgeneral)分支无实际意义**
分支命名规则(不使用中文,小写无空格)
@ -35,5 +35,9 @@ b分支编号-h硬件版本-p协议版本-f固件版本
## 作者
**丁坤2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156**,他觉得老阀板工程很乱,重新开发了关于阀板的一切,并放到这个仓库里,计划以后就在这个仓库里迭代更新,无论有没有毕业,都很乐意解答关于阀板的所有问题
[过奕任](https://github.com/3703781)、丁坤。老阀板工程很乱,重新开发了关于阀板的一切,并放到这个仓库里,以后就在这个仓库里迭代更新。欢迎提[issue](https://github.com/NanjingForestryUniversity/valveboard/issues)bug随缘解决。
丁坤2019年入学虽然已经毕业但也很乐意解答关于阀板的所有问题。丁坤QQ1091546069、丁坤电话17761700156。
过奕任2020年入学目前正打算找其他人接管这个库毕业了就不要找他但永远可以找丁坤。

View File

@ -17,6 +17,9 @@
基于嵌入式linux的程序线程安全具体板子为EPC-9600I-L是广州致远电子有限公司开发的基于AM335x系列处理器的工控主板处理器内核为800MHz的Arm Cortex-A8。
## 作者
[过奕任](https://github.com/3703781)、丁坤。欢迎提[issue](https://github.com/NanjingForestryUniversity/valveboard/issues)bug随缘解决。
**丁坤2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156**,无论有没有毕业,都很乐意解答关于这份协议的所有问题
过奕任2020年入学目前正打算找其他人接管这个库毕业了就不要找他但永远可以找丁坤。
丁坤2019年入学、丁坤QQ1091546069、丁坤电话17761700156已经毕业但很乐意解答所有问题。

View File

@ -1,16 +1,44 @@
# 阀板固件
这是阀板上CPLD的固件严格意义上也属于硬件因为是描述的硬件结构。这个固件是按照通信协议写的但比通信协议能适应更广的传输速度**烟梗分选机上`SCLK`为2MHz高电平时间为0.37ms**
这是阀板上CPLD的固件严格意义上也属于硬件因为是描述的硬件结构。这个固件是按照通信协议写的但比通信协议能适应更广的传输速度**烟梗分选机上`SCLK`为2MHz高电平时间为0.2ms**
## 如何烧录
去学习下intel系列的FPGA用的是Quartus软件,自然就会了
Quartus软件
## 程序说明
都在注释里,清清楚楚
看程序注释
## Changelog
### v1.0
继承自老程序
### v1.1
丁坤重写了
### v1.2
修正了引脚分配
### v1.3
- 添加了高电压抑制,见[issue#4](https://github.com/NanjingForestryUniversity/valveboard/issues/4)
- 修正了高电压时间为0.2ms
### v1.4
确认了阀不需要长时间开启保护删除了阀板固件v1.4-beta1([commit 6af8df](https://github.com/NanjingForestryUniversity/valveboard/commit/6af8dfd09c268d677a46063cc9637f573e69919e))中的长时间开启保护,见[issue#6](https://github.com/NanjingForestryUniversity/valveboard/issues/6)
## 作者
[过奕任](https://github.com/3703781)、丁坤
**作者是丁坤2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156**他是搞嵌入式的自师兄王聪2018年9月入学毕业后硬件领域师门出现空档期被老倪催的没办法了就学了硬件并顺手写了这份FPGA代码无论有没有毕业作者都很乐意解答关于固件的所有问题
过奕任自师兄王聪2018年入学毕业后硬件方面师门出现空档期被老倪催的没办法了就学了硬件并顺手写了这份FPGA代码。丁坤是专门搞嵌入式的但也看过这份代码。欢迎提[issue](https://github.com/NanjingForestryUniversity/valveboard/issues)bug随缘解决。
过奕任2020年入学目前正打算找其他人接管这个库毕业了就不要找他但永远可以找丁坤。
丁坤2019年入学、丁坤QQ1091546069、丁坤电话17761700156已经毕业但很乐意解答所有问题。

View File

@ -1,93 +0,0 @@
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1653573984153 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573984153 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:24 2022 " "Processing started: Thu May 26 22:06:24 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573984153 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573984153 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573984153 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1653573984403 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1653573984403 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 valveboard_firmware " "Found entity 1: valveboard_firmware" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1653573990653 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573990653 ""}
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tb_valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file tb_valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 tb_valveboard_firmware " "Found entity 1: tb_valveboard_firmware" { } { { "tb_valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/tb_valveboard_firmware.v" 2 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1653573990653 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573990653 ""}
{ "Info" "ISGN_START_ELABORATION_TOP" "valveboard_firmware " "Elaborating entity \"valveboard_firmware\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1653573990669 ""}
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 valveboard_firmware.v(90) " "Verilog HDL assignment warning at valveboard_firmware.v(90): truncated value with size 32 to match size of target (5)" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 90 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1653573990684 "|valveboard_firmware"}
{ "Info" "IFTM_FTM_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 290 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 266 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 145 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 93 -1 0 } } } 0 18000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 -1 1653573991184 ""}
{ "Info" "ICUT_CUT_TM_SUMMARY" "569 " "Implemented 569 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "5 " "Implemented 5 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1653573991278 ""} { "Info" "ICUT_CUT_TM_OPINS" "96 " "Implemented 96 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1653573991278 ""} { "Info" "ICUT_CUT_TM_LCELLS" "468 " "Implemented 468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1653573991278 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1653573991278 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4709 " "Peak virtual memory: 4709 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:31 2022 " "Processing ended: Thu May 26 22:06:31 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573991325 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1653573992481 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573992481 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:32 2022 " "Processing started: Thu May 26 22:06:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573992481 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1653573992481 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1653573992481 ""}
{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1653573992559 ""}
{ "Info" "0" "" "Project = valveboard_firmware" { } { } 0 0 "Project = valveboard_firmware" 0 0 "Fitter" 0 0 1653573992559 ""}
{ "Info" "0" "" "Revision = valveboard_firmware" { } { } 0 0 "Revision = valveboard_firmware" 0 0 "Fitter" 0 0 1653573992559 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1653573992591 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1653573992591 ""}
{ "Info" "IMPP_MPP_USER_DEVICE" "valveboard_firmware EPM1270T144C5 " "Selected device EPM1270T144C5 for design \"valveboard_firmware\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1653573992591 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1653573992622 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1653573992622 ""}
{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1653573992653 ""}
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1653573992653 ""}
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144C5 " "Device EPM570T144C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144I5 " "Device EPM570T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144A5 " "Device EPM570T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144I5 " "Device EPM1270T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144A5 " "Device EPM1270T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1653573992731 ""}
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1653573992809 ""}
{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1653573992809 ""}
{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1653573992809 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1653573992809 ""}
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 sys_clk " " 1.000 sys_clk" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1653573992809 ""}
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1653573992825 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1653573992825 ""}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1653573992825 ""}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "sys_clk Global clock in PIN 18 " "Automatically promoted signal \"sys_clk\" to use Global clock in PIN 18" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1653573992841 ""}
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "rst_n Global clock " "Automatically promoted some destinations of signal \"rst_n\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "negedge_line_sen " "Destination \"negedge_line_sen\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 106 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1653573992841 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "fiter_line_sdata " "Destination \"fiter_line_sdata\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 87 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1653573992841 ""} } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1653573992841 ""}
{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "rst_n " "Pin \"rst_n\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/programdata/intelfpga_lite/20.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/programdata/intelfpga_lite/20.1/quartus/bin64/pin_planner.ppl" { rst_n } } } { "c:/programdata/intelfpga_lite/20.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/programdata/intelfpga_lite/20.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "rst_n" } } } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "C:/Users/miaow/Desktop/firmware/" { { 0 { 0 ""} 0 655 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1653573992841 ""}
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1653573992841 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1653573992841 ""}
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1653573992872 ""}
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1653573992919 ""}
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1653573992919 ""}
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1653573992919 ""}
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1653573992919 ""}
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573992966 ""}
{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1653573992966 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1653573993059 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573993294 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1653573993294 ""}
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1653573994653 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573994653 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1653573994700 ""}
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "11 " "Router estimated average interconnect usage is 11% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "13 X0_Y0 X8_Y11 " "Router estimated peak interconnect usage is 13% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11" { } { { "loc" "" { Generic "C:/Users/miaow/Desktop/firmware/" { { 1 { 0 "Router estimated peak interconnect usage is 13% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11"} { { 12 { 0 ""} 0 0 9 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1653573994919 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1653573994919 ""}
{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1653573995200 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1653573995200 ""}
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573995200 ""}
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.47 " "Total time spent on timing analysis during the Fitter is 0.47 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1653573995216 ""}
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573995216 ""}
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1653573995263 ""}
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/miaow/Desktop/firmware/output_files/valveboard_firmware.fit.smsg " "Generated suppressed messages file C:/Users/miaow/Desktop/firmware/output_files/valveboard_firmware.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1653573995309 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5910 " "Peak virtual memory: 5910 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:35 2022 " "Processing ended: Thu May 26 22:06:35 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1653573995325 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1653573996325 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573996325 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:36 2022 " "Processing started: Thu May 26 22:06:36 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573996325 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1653573996325 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1653573996325 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1653573996513 ""}
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1653573996544 ""}
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1653573996544 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4671 " "Peak virtual memory: 4671 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:36 2022 " "Processing ended: Thu May 26 22:06:36 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1653573996653 ""}
{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1653573997231 ""}
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1653573997747 ""}
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573997747 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:37 2022 " "Processing started: Thu May 26 22:06:37 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573997747 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1653573997747 ""}
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta valveboard_firmware -c valveboard_firmware " "Command: quartus_sta valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1653573997747 ""}
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1653573997826 ""}
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1653573997919 ""}
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1653573997919 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573997951 ""}
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573997951 ""}
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1653573997997 ""}
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1653573998310 ""}
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1653573998357 ""}
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998357 ""}
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name sys_clk sys_clk " "create_clock -period 1.000 -name sys_clk sys_clk" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1653573998357 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1653573998357 ""}
{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1653573998357 ""}
{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1653573998372 ""}
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1653573998372 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -10.920 " "Worst-case setup slack is -10.920" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.920 -2224.374 sys_clk " " -10.920 -2224.374 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998372 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.397 " "Worst-case hold slack is 1.397" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.397 0.000 sys_clk " " 1.397 0.000 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 sys_clk " " -2.289 -2.289 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1653573998403 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1653573998419 ""}
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1653573998419 ""}
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4687 " "Peak virtual memory: 4687 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:38 2022 " "Processing ended: Thu May 26 22:06:38 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1653573998451 ""}
{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 10 s " "Quartus Prime Full Compilation was successful. 0 errors, 10 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1653573999091 ""}

View File

@ -1,3 +1,3 @@
Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
Version_Index = 520278016
Creation_Time = Thu May 26 22:11:13 2022
Creation_Time = Wed Aug 31 14:18:53 2022

View File

@ -1,13 +0,0 @@
/* Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition */
JedecChain;
FileRevision(JESD32A);
DefaultMfr(6E);
P ActionCode(Cfg)
Device PartName(EPM1270T144) Path("C:/Users/miaow/Desktop/firmware/output_files/") File("valveboard_firmware.pof") MfrSpec(OpMask(23) SEC_Device(EPM1270T144) Child_OpMask(2 7 7));
ChainEnd;
AlteraBegin;
ChainType(JTAG);
AlteraEnd;

View File

@ -1 +0,0 @@
Thu May 26 22:10:32 2022

View File

@ -6,8 +6,8 @@ module tb_valveboard_firmware();
reg line_sen;
reg line_sdata;
wire [48:0] signal_high_voltage;
wire [48:0] signal_low_voltage;
wire [47:0] signal_high_voltage;
wire [47:0] signal_low_voltage;
valveboard_firmware inst_valveboard_firmware(
.sys_clk (sys_clk),
@ -18,7 +18,7 @@ module tb_valveboard_firmware();
.signal_high_voltage (signal_high_voltage),
.signal_low_voltage (signal_low_voltage)
);
reg [47:0] valve_data;
initial begin
sys_clk = 0;
rst_n = 0;
@ -28,27 +28,28 @@ module tb_valveboard_firmware();
#500;
rst_n = 1;
#500;
valve_data = 0;
end
integer idx;
reg [47:0] valve_data;
always #1000000 begin
valve_data <= ~48'b1000_0000_0000_0000_0000_0000_0000_0001_0000_0000_0000_1001;
line_sen = 1;#100;
always #500000 begin
valve_data = valve_data + 1;
line_sen = 1;#50;
for (idx = 0; idx < 48; idx = idx + 1) begin
if (valve_data[idx] == 0) begin
line_sdata = 0;#250;
line_sclk = 1;#250;
line_sdata = 1;#250;
line_sclk = 0;#500;
if (valve_data[idx] == 1) begin
line_sdata = 0;#125;
line_sclk = 1;#125;
line_sdata = 1;#125;
line_sclk = 0;#250;
end
else begin
line_sclk = 1;#500;
line_sclk = 0;#500;
line_sclk = 1;#250;
line_sclk = 0;#250;
end
end
#100;
#50;
line_sen = 0;
end

View File

@ -252,4 +252,18 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[3
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[2]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[1]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[0]
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sys_clk
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sys_clk
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan
set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH nnormal_test -section_id eda_simulation
set_global_assignment -name EDA_TEST_BENCH_NAME nnormal_test -section_id eda_simulation
set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id nnormal_test
set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME nnormal_test -section_id nnormal_test
set_global_assignment -name EDA_TEST_BENCH_FILE tb_valveboard_firmware.v -section_id nnormal_test

Binary file not shown.

View File

@ -1,8 +1,7 @@
/*
丁坤的阀板程序 2021/12/26
对应原理图ValveBoard Kun v1.1.pdf
将b01-h1.1-p1.1-f1.1中的高压时间改为0.37ms
使用的是合肥的阀1A电流需0.37ms的100V(阀标称100V现场供电为96V)高电压
阀板程序v1.4 2022/8/31
经测试高压时间改为0.2ms
使用的是合肥的阀1.5A电流需0.2ms的100V(阀标称100V现场供电为96V)高电压
*/
module valveboard_firmware(
@ -19,9 +18,9 @@ module valveboard_firmware(
parameter CHANNEL_NUM = 48;
parameter CHANNEL_NUM_MINUS_1 = CHANNEL_NUM - 1;
parameter HIGH_VOLTAGE_TIME = 32'd7400; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 0.37ms
parameter HIGH_VOLTAGE_TIME_MINUS_1 = HIGH_VOLTAGE_TIME - 1; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 2ms
parameter FAULT_COUNTER_THRESHOLD = 32'd20_000_000; // 通讯中断超过FAULT_COUNTER_THRESHOLD / 20MHz = 1s就关所有阀
parameter HIGH_VOLTAGE_TIME = 32'd4000; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 0.2ms
parameter HIGH_VOLTAGE_TIME_MINUS_1 = HIGH_VOLTAGE_TIME - 1;
parameter FAULT_COUNTER_THRESHOLD = 32'd20_000_000; // 通讯中断超过FAULT_COUNTER_THRESHOLD / 20MHz = 200ms就关所有阀
parameter FAULT_COUNTER_THRESHOLD_MINUS_1 = FAULT_COUNTER_THRESHOLD - 1;
parameter FAULT_COUNTER_THRESHOLD_PLUS_1 = FAULT_COUNTER_THRESHOLD + 1;
@ -32,6 +31,7 @@ module valveboard_firmware(
reg [31:0] fault_counter;
reg [0:0] fault_flag [0:7]; // fault_flag支持8类错误信号
/**
* 维护错误信号
*/
@ -256,19 +256,24 @@ module valveboard_firmware(
/**
* recv_complete下降沿缓存cache_line_sdata数据到cache2_line_sdata并开始高电压时间计时
* last_line_data则保存上一次的输出数据
*/
reg [CHANNEL_NUM_MINUS_1:0] cache2_line_sdata;
reg [CHANNEL_NUM_MINUS_1:0] last_line_sdata;
always @(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
enable_count_high_voltage_time <= 0;
cache2_line_sdata <= ~0;
last_line_sdata <= ~0;
end
else if (total_fault_flag) begin
enable_count_high_voltage_time <= 0;
cache2_line_sdata <= ~0;
last_line_sdata <= ~0;
end
else if (recv_complete) begin
enable_count_high_voltage_time <= 1;
last_line_sdata <= cache2_line_sdata;
cache2_line_sdata <= cache_line_sdata;
end
else begin
@ -276,9 +281,10 @@ module valveboard_firmware(
end
end
/**
* 高电压时间内(is_high_voltage_time高电平时)按cache2_line_sdata打开所需高电压高电压时间后关闭
* 需要注意的是已经开着的喷阀 在高压时间内不会再次使用高电压只是保持低电压
* 按cache2_line_sdata打开低电压
* total_fault_flag会关闭所有喷阀
*/
@ -292,7 +298,8 @@ module valveboard_firmware(
signal_high_voltage <= ~0;
end
else if (is_high_voltage_time) begin
signal_high_voltage <= cache2_line_sdata;
// 已经开着的喷阀在高压时间内不会再次使用高电压只是保持低电压
signal_high_voltage <= ~last_line_sdata | cache2_line_sdata;
signal_low_voltage <= cache2_line_sdata;
end
else begin

View File

@ -1,303 +0,0 @@
/*
丁坤的阀板程序 2021/11/02
对应原理图ValveBoard Kun v1.1.pdf
*/
module PF1(
input sys_clk, // 20MHz
input rst_n,
input line_sclk,
input line_sen,
input line_sdata,
output reg [47:0] signal_high_voltage,
output reg [47:0] signal_low_voltage
);
parameter CHANNEL_NUM = 48;
parameter CHANNEL_NUM_MINUS_1 = CHANNEL_NUM - 1;
parameter HIGH_VOLTAGE_TIME = 32'd40_000; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 2ms
parameter HIGH_VOLTAGE_TIME_MINUS_1 = HIGH_VOLTAGE_TIME - 1; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 2ms
parameter FAULT_COUNTER_THRESHOLD = 32'd20_000_000; // 通讯中断超过FAULT_COUNTER_THRESHOLD / 20MHz = 1s就关所有阀
parameter FAULT_COUNTER_THRESHOLD_MINUS_1 = FAULT_COUNTER_THRESHOLD - 1;
parameter FAULT_COUNTER_THRESHOLD_PLUS_1 = FAULT_COUNTER_THRESHOLD + 1;
reg [CHANNEL_NUM_MINUS_1:0] cache_signal_high_voltage;
reg [31:0] i;
reg [31:0] fault_counter;
reg [0:0] fault_flag [0:7]; // fault_flag支持8类错误信号
/**
* 维护错误信号
*/
wire total_fault_flag = fault_flag[7] | fault_flag[6] | fault_flag[5] | fault_flag[4] | fault_flag[3] | fault_flag[2] | fault_flag[1] | fault_flag[0];
integer j;
initial begin
for (j = 0; j < 8; j = j + 1) begin
fault_flag[j] = 1'b0;
end
end
// /**
// * 产生周期为100kHz的posedge_100khz信号信号高电平持续1个sys_clk
// */
// reg[7:0] cnt_for_posedge_100khz;
// reg posedge_100khz;
// always @(posedge sys_clk or negedge rst_n) begin
// if(!rst_n) begin
// cnt_for_posedge_100khz <= 0;
// end
// else if(cnt_for_posedge_100khz == 199) begin
// posedge_100khz <= 1;
// cnt_for_posedge_100khz <= 0;
// end
// else begin
// cnt_for_posedge_100khz <= cnt_for_posedge_100khz + 1;
// posedge_100khz <= 0;
// end
// end
/**
* 在输入的line_sclk信号上升沿产生1个sys_clk时长高电平的脉冲信号posedge_line_sclk比原信号延迟(4,5]个sys_clk
*/
reg [4:0] cache_line_sclk;
reg posedge_line_sclk;
always@(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
cache_line_sclk <= 0;
posedge_line_sclk <= 0;
end
else begin
cache_line_sclk <= {cache_line_sclk[3:0], line_sclk};
if ({cache_line_sclk, line_sclk} == 6'b011111)
posedge_line_sclk <= 1;
else
posedge_line_sclk <= 0;
end
end
/**
* filter_line_sdata比原信号延迟(4,5]个sys_clk
*/
reg [4:0] tmp_cache_line_sdata;
reg fiter_line_sdata;
always@(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
tmp_cache_line_sdata <= ~0;
end
else begin
tmp_cache_line_sdata <= {tmp_cache_line_sdata[3:0], line_sdata};
fiter_line_sdata <= tmp_cache_line_sdata[4];
end
end
/**
* 在输入的line_sen信号上升沿产生1个sys_clk时长高电平的脉冲信号posedge_line_sen比原信号延迟(4,5]个sys_clk
* 在输入的line_sen信号下降沿产生1个sys_clk时长高电平的脉冲信号negedge_line_sen比原信号延迟(4,5]个sys_clk
* 缓存和整理line_sen信号得filter_line_sen比原信号延迟(4,5]个sys_clk
*/
reg [4:0] cache_line_sen;
// reg posedge_line_sen;
reg filter_line_sen;
reg negedge_line_sen;
always@(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
cache_line_sen <= 0;
filter_line_sen <= 0;
// posedge_line_sen <= 0;
end
else begin
cache_line_sen <= {cache_line_sen[3:0], line_sen};
if ({cache_line_sen, line_sen} == 6'b011111) begin
// posedge_line_sen <= 1;
filter_line_sen <= 1;
negedge_line_sen <= 0;
end
else if ({cache_line_sen, line_sen} == 6'b100000) begin
// posedge_line_sen <= 0;
filter_line_sen <= 0;
negedge_line_sen <= 1;
end
else begin
// posedge_line_sen <= 0;
filter_line_sen <= filter_line_sen;
negedge_line_sen <= 0;
end
end
end
/**
* line_clk上升沿采样line_sdata采样时刻与posedge_line_sclk下降沿对齐
* total_fault_flag会相对line_clk异步结束本次通信
* recv_complete指示是否接收完成单sys_clk周期宽度与negedge_line_sen信号对齐
*/
reg [CHANNEL_NUM_MINUS_1:0] cache_line_sdata;
wire recv_complete = negedge_line_sen && (i == CHANNEL_NUM);
always @ (posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
i <= 0;
cache_line_sdata <= ~0;
end
else if (total_fault_flag) begin
i <= 0;
cache_line_sdata <= ~0;
end
else if (filter_line_sen && posedge_line_sclk) begin
cache_line_sdata[i] <= fiter_line_sdata;
i <= i + 1;
end
else if (negedge_line_sen) begin
i <= 0;
end
end
/**
* 若接收超过CHANNEL_NUM个数据产生错误信号fault_flag[0]fault_flag[0]将在posedge_line_sen上升沿时刻清楚
*/
always @ (posedge sys_clk or negedge rst_n) begin
if (!rst_n)
fault_flag[0] <= 0;
else if (i > CHANNEL_NUM)
fault_flag[0] <= 1;
else if ({cache_line_sen, line_sen} == 6'b011111)
fault_flag[0] <= 0;
else
fault_flag[0] <= fault_flag[0];
end
/**
* 若通讯中断超过FAULT_COUNTER_THRESHOLD个csys_clk就置位fault_flag[1]
* fault_flag[1]在posedge_line_sclk上升沿时刻清楚
*/
always @(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
fault_counter <= 0;
fault_flag[1] <= 0;
end
else if ({cache_line_sclk, line_sclk} == 6'b011111) begin
fault_counter <= 0;
fault_flag[1] <= 0;
end
else begin
if (fault_counter >= FAULT_COUNTER_THRESHOLD_PLUS_1)
fault_flag[1] <= 1;
else if (fault_counter >= FAULT_COUNTER_THRESHOLD_MINUS_1) begin
fault_counter <= fault_counter + 1;
fault_flag[1] <= 1;
end
else begin
fault_counter <= fault_counter + 1;
fault_flag[1] <= 0;
end
end
end
/**
* 得到enable_count_high_voltage_time的上升沿脉冲posedge_enable_count_high_voltage_time
* enable_count_high_voltage_time是用于开启高电压计时的信号在其上升沿开启计时
*/
reg [1:0] cache_enable_count_high_voltage_time;
reg enable_count_high_voltage_time;
wire posedge_enable_count_high_voltage_time = cache_enable_count_high_voltage_time[0] & ~cache_enable_count_high_voltage_time[1];
always @(posedge sys_clk or negedge rst_n) begin
if (!rst_n)
cache_enable_count_high_voltage_time <= 0;
else begin
cache_enable_count_high_voltage_time[0] <= enable_count_high_voltage_time;
cache_enable_count_high_voltage_time[1] <= cache_enable_count_high_voltage_time[0];
end
end
/**
* posedge_enable_count_high_voltage_time下降沿开始从HIGH_VOLTAGE_TIME-1向下计数count_high_voltage_time_end上升沿与到0瞬间对齐
* is_high_voltage_time表示当前是否需要输出高电平其宽度为HIGH_VOLTAGE_TIME
* posedge_count_high_voltage_time_complete脉冲时长为一个sys_clk
*/
reg [31:0] cnt_for_high_voltage_time;
// reg high_voltage_time_end;
reg is_high_voltage_time;
always @(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
cnt_for_high_voltage_time <= 0;
// high_voltage_time_end <= 0;
is_high_voltage_time <= 0;
end
else if (total_fault_flag) begin
cnt_for_high_voltage_time <= 0;
// high_voltage_time_end <= 0;
is_high_voltage_time <= 0;
end
else if (posedge_enable_count_high_voltage_time) begin
cnt_for_high_voltage_time <= HIGH_VOLTAGE_TIME_MINUS_1;
// high_voltage_time_end <= 0;
is_high_voltage_time <= 1;
end
else if (cnt_for_high_voltage_time > 1) begin
cnt_for_high_voltage_time <= cnt_for_high_voltage_time - 1;
// high_voltage_time_end <= 0;
is_high_voltage_time <= 1;
end
else if (cnt_for_high_voltage_time == 1) begin
cnt_for_high_voltage_time <= cnt_for_high_voltage_time - 1;
// high_voltage_time_end <= 1;
is_high_voltage_time <= 1;
end
else begin
// high_voltage_time_end <= 0;
is_high_voltage_time <= 0;
end
end
/**
* recv_complete下降沿缓存cache_line_sdata数据到cache2_line_sdata并开始高电压时间计时
*/
reg [CHANNEL_NUM_MINUS_1:0] cache2_line_sdata;
always @(posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
enable_count_high_voltage_time <= 0;
cache2_line_sdata <= ~0;
end
else if (total_fault_flag) begin
enable_count_high_voltage_time <= 0;
cache2_line_sdata <= ~0;
end
else if (recv_complete) begin
enable_count_high_voltage_time <= 1;
cache2_line_sdata <= cache_line_sdata;
end
else begin
enable_count_high_voltage_time <= 0;
end
end
/**
* 高电压时间内(is_high_voltage_time高电平时)按cache2_line_sdata打开所需高电压高电压时间后关闭
* 按cache2_line_sdata打开低电压
* total_fault_flag会关闭所有喷阀
*/
always @ (posedge sys_clk or negedge rst_n) begin
if (!rst_n) begin
signal_low_voltage <= ~0;
signal_high_voltage <= ~0;
end
else if (total_fault_flag) begin
signal_low_voltage <= ~0;
signal_high_voltage <= ~0;
end
else if (is_high_voltage_time) begin
signal_high_voltage <= cache2_line_sdata;
signal_low_voltage <= cache2_line_sdata;
end
else begin
signal_high_voltage <= ~0;
signal_low_voltage <= cache2_line_sdata;
end
end
endmodule

163
hardware/Job.OutJob Normal file
View File

@ -0,0 +1,163 @@
[OutputJobFile]
Version=1.0
Caption=
Description=
VaultGUID=
ItemGUID=
ItemHRID=
RevisionGUID=
RevisionId=
VaultHRID=
AutoItemHRID=
NextRevId=
FolderGUID=
LifeCycleDefinitionGUID=
RevisionNamingSchemeGUID=
[OutputGroup1]
Name=Job.OutJob
Description=
TargetOutputMedium=Folder Structure
VariantName=[No Variations]
VariantScope=1
CurrentConfigurationName=
TargetPrinter=\\Desktop-k75ippc\HP LaserJet Professional M1136 MFP
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=0
OutputMedium1=PDF
OutputMedium1_Type=Publish
OutputMedium2=Folder Structure
OutputMedium2_Type=GeneratedFiles
OutputType1=Pick Place
OutputName1=ValveBoard_pnp
OutputCategory1=Assembly
OutputDocumentPath1=VALVEBOARD_Kun.PcbDoc
OutputVariantName1=
OutputEnabled1=1
OutputEnabled1_OutputMedium1=0
OutputEnabled1_OutputMedium2=1
OutputDefault1=0
Configuration1_Name1=OutputConfigurationParameter1
Configuration1_Item1=Record=PickPlaceView|Units=Metric|GenerateCSVFormat=True|GenerateTextFormat=False|ShowUnits=True|Separator=.|ExcludeFilterParam=False|IncludeVariations=False|IncludeStandardNoBOM=True|Filter= |FilterActive=False|YFlip=False|Column#1=Name:Designator,Fixed:True,Metric:False,Visible:True,Sort:None,Position:0|Column#2=Name:Comment,Fixed:True,Metric:False,Visible:False,Sort:None,Position:2|Column#3=Name:Layer,Fixed:True,Metric:False,Visible:True,Sort:None,Position:3|Column#4=Name:Footprint,Fixed:True,Metric:False,Visible:True,Sort:None,Position:1|Column#5=Name:Footprint Description,Fixed:True,Metric:False,Visible:False,Sort:None,Position:4|Column#6=Name:Center-X,Fixed:True,Metric:True,Visible:True,Sort:Ascending,SortIndex:0,Position:5|Column#7=Name:Center-Y,Fixed:True,Metric:True,Visible:True,Sort:None,Position:6|Column#8=Name:Rotation,Fixed:True,Metric:False,Visible:True,Sort:None,Position:11|Column#9=Name:Description,Fixed:True,Metric:False,Visible:False,Sort:None,Position:12|Column#10=Name:ComponentKind,Fixed:True,Metric:False,Visible:False,Sort:None,Position:13|Column#11=Name:Height,Fixed:True,Metric:True,Visible:False,Sort:None,Position:14|Column#12=Name:Ref-X,Fixed:True,Metric:True,Visible:True,Sort:None,Position:7|Column#13=Name:Ref-Y,Fixed:True,Metric:True,Visible:True,Sort:None,Position:8|Column#14=Name:Pad-X,Fixed:True,Metric:True,Visible:True,Sort:None,Position:9|Column#15=Name:Pad-Y,Fixed:True,Metric:True,Visible:True,Sort:None,Position:10|Column#16=Name:Variation,Fixed:True,Metric:False,Visible:False,Sort:None,Position:15|Column#17=Name:Supplier 1,Fixed:False,Metric:False,Visible:False,Sort:None,Position:36|Column#18=Name:Published,Fixed:False,Metric:False,Visible:False,Sort:None,Position:34|Column#19=Name:ComponentLink3Description,Fixed:False,Metric:False,Visible:False,Sort:None,Position:23|Column#20=Name:ComponentLink2URL,Fixed:False,Metric:False,Visible:False,Sort:None,Position:22|Column#21=Name:PackageDescription,Fixed:False,Metric:False,Visible:False,Sort:None,Position:30|Column#22=Name:Supplier Part Number 1,Fixed:False,Metric:False,Visible:False,Sort:None,Position:37|Column#23=Name:ComponentLink1URL,Fixed:False,Metric:False,Visible:False,Sort:None,Position:20|Column#24=Name:LatestRevisionNote,Fixed:False,Metric:False,Visible:False,Sort:None,Position:28|Column#25=Name:value,Fixed:False,Metric:False,Visible:False,Sort:None,Position:38|Column#26=Name:Code_JEITA,Fixed:False,Metric:False,Visible:False,Sort:None,Position:18|Column#27=Name:precision,Fixed:False,Metric:False,Visible:False,Sort:None,Position:33|Column#28=Name:Code_JEDEC,Fixed:False,Metric:False,Visible:False,Sort:None,Position:17|Column#29=Name:voltage,Fixed:False,Metric:False,Visible:False,Sort:None,Position:39|Column#30=Name:ComponentLink3URL,Fixed:False,Metric:False,Visible:False,Sort:None,Position:24|Column#31=Name:PackageReference,Fixed:False,Metric:False,Visible:False,Sort:None,Position:31|Column#32=Name:LatestRevisionDate,Fixed:False,Metric:False,Visible:False,Sort:None,Position:27|Column#33=Name:ComponentLink1Description,Fixed:False,Metric:False,Visible:False,Sort:None,Position:19|Column#34=Name:Publisher,Fixed:False,Metric:False,Visible:False,Sort:None,Position:35|Column#35=Name:Code_IEC,Fixed:False,Metric:False,Visible:False,Sort:None,Position:16|Column#36=Name:frequency,Fixed:False,Metric:False,Visible:False,Sort:None,Position:26|Column#37=Name:DatasheetVersion,Fixed:False,Metric:False,Visible:False,Sort:None,Position:25|Column#38=Name:Note,Fixed:False,Metric:False,Visible:False,Sort:None,Position:29|Column#39=Name:ComponentLink2Description,Fixed:False,Metric:False,Visible:False,Sort:None,Position:21|Column#40=Name:PackageVersion,Fixed:False,Metric:False,Visible:False,Sort:None,Position:32|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\pcb\VALVEBOARD_Kun.PcbDoc
OutputType2=Gerber
OutputName2=ValveBoard_gerber
OutputCategory2=Fabrication
OutputDocumentPath2=VALVEBOARD_Kun.PcbDoc
OutputVariantName2=
OutputEnabled2=1
OutputEnabled2_OutputMedium1=0
OutputEnabled2_OutputMedium2=2
OutputDefault2=0
Configuration2_Name1=OutputConfigurationParameter1
Configuration2_Item1=AddToAllLayerClasses.Set= |AddToAllPlots.Set=SerializeLayerHash.Version~2,ClassName~TPlotLayerStateArray|CentrePlots=False|DrillDrawingSymbol=GraphicsSymbol|DrillDrawingSymbolSize=200000|EmbeddedApertures=True|FilmBorderSize=10000000|FilmXSize=200000000|FilmYSize=160000000|FlashAllFills=False|FlashPadShapes=True|G54OnApertureChange=False|GenerateDRCRulesFile=False|GenerateDRCRulesFile=False|GenerateReliefShapes=True|GerberUnit=Imperial|GerberUnit=Imperial|IncludeUnconnectedMidLayerPads=False|LayerClassesMirror.Set= |LayerClassesPlot.Set= |LeadingAndTrailingZeroesMode=SuppressLeadingZeroes|MaxApertureSize=2500000|MinusApertureTolerance=50|MinusApertureTolerance=50|Mirror.Set=SerializeLayerHash.Version~2,ClassName~TPlotLayerStateArray|MirrorDrillDrawingPlots=False|MirrorDrillGuidePlots=False|NoRegularPolygons=False|NumberOfDecimals=5|NumberOfDecimals=5|OptimizeChangeLocationCommands=True|OptimizeChangeLocationCommands=True|OriginPosition=Relative|Panelize=False|Plot.Set=SerializeLayerHash.Version~2,ClassName~TPlotLayerStateArray,16973830~1,16973832~1,16973834~1,16777217~1,16842751~1,16973835~1,16973833~1,16973831~1,16908289~1,16973848~1,16973849~1|PlotPositivePlaneLayers=False|PlotUsedDrillDrawingLayerPairs=True|PlotUsedDrillGuideLayerPairs=False|PlusApertureTolerance=50|PlusApertureTolerance=50|Record=GerberView|SoftwareArcs=False|Sorted=False|Sorted=False|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\pcb\VALVEBOARD_Kun.PcbDoc
OutputType3=NC Drill
OutputName3=ValveBoard_ncdrill
OutputCategory3=Fabrication
OutputDocumentPath3=VALVEBOARD_Kun.PcbDoc
OutputVariantName3=
OutputEnabled3=1
OutputEnabled3_OutputMedium1=0
OutputEnabled3_OutputMedium2=3
OutputDefault3=0
Configuration3_Name1=OutputConfigurationParameter1
Configuration3_Item1=BoardEdgeRoutToolDia=2000000|GenerateBoardEdgeRout=False|GenerateDrilledSlotsG85=True|GenerateEIADrillFile=False|GenerateSeparatePlatedNonPlatedFiles=False|NumberOfDecimals=5|NumberOfUnits=2|OptimizeChangeLocationCommands=True|OriginPosition=Relative|Record=DrillView|Units=Imperial|ZeroesMode=SuppressTrailingZeroes|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\pcb\VALVEBOARD_Kun.PcbDoc
OutputType4=BOM_PartType
OutputName4=ValveBoard_bom
OutputCategory4=Report
OutputDocumentPath4=
OutputVariantName4=
OutputEnabled4=1
OutputEnabled4_OutputMedium1=3
OutputEnabled4_OutputMedium2=4
OutputDefault4=0
PageOptions4=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
Configuration4_Name1=ColumnNameFormat
Configuration4_Item1=CaptionAsName
Configuration4_Name2=General
Configuration4_Item2=OpenExported=False|AddToProject=False|ReportBOMViolationsInMessages=False|ForceFit=False|NotFitted=False|Database=False|DatabasePriority=False|IncludePcbData=False|IncludeVaultData=False|IncludeCloudData=False|IncludeDocumentData=True|IncludeAlternatives=False|ShowExportOptions=True|TemplateFilename=|TemplateVaultGuid=|TemplateItemGuid=|TemplateRevisionGuid=|BatchMode=5|FormWidth=1200|FormHeight=710|SupplierProdQty=1|SupplierAutoQty=False|SupplierUseCachedPricing=False|SupplierCurrency=USD|SolutionsPerItem=1|SuppliersPerSolution=1|ViewType=0|UseDirectApi=False|BomSetName=
Configuration4_Name3=GroupOrder
Configuration4_Item3=Comment=True|Footprint=True|value=True|voltage=True
Configuration4_Name4=SortOrder
Configuration4_Item4=Designator=Up|Comment=Up|Footprint=Up
Configuration4_Name5=VisibleOrder
Configuration4_Item5=Designator=120|Comment=120|value=100|voltage=100|frequency=100|Footprint=120|LibRef=120|Quantity=120|Manufacturer Part Number 1=100
Configuration4_Name6=VisibleOrder_Flat
Configuration4_Item6=Designator=120|Comment=120|value=100|voltage=100|frequency=100|Footprint=120|LibRef=120|Quantity=120|Manufacturer Part Number 1=100
OutputType5=PCBDrawing
OutputName5=ValveBoard_assembly
OutputCategory5=Documentation
OutputDocumentPath5=VALVEBOARD.PCBDwf
OutputVariantName5=
OutputEnabled5=0
OutputEnabled5_OutputMedium1=1
OutputEnabled5_OutputMedium2=0
OutputDefault5=0
PageOptions5=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=0|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
OutputType6=Schematic Print
OutputName6=ValveBoard_sch
OutputCategory6=Documentation
OutputDocumentPath6=
OutputVariantName6=
OutputEnabled6=0
OutputEnabled6_OutputMedium1=2
OutputEnabled6_OutputMedium2=0
OutputDefault6=0
PageOptions6=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=0.97|XCorrection=1.00|YCorrection=1.00|PrintKind=0|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
Configuration6_Name1=OutputConfigurationParameter1
Configuration6_Item1=Record=SchPrintView|ShowNoERC=True|ShowParamSet=True|ShowProbe=True|ShowBlanket=True|NoERCSymbolsToShow="Thin Cross","Thick Cross","Small Cross",Checkbox,Triangle|ShowNote=True|ShowNoteCollapsed=True|ShowOpenEnds=True|ExpandDesignator=True|ExpandNetLabel=False|ExpandPort=False|ExpandSheetNum=False|ExpandDocNum=False|PrintArea=0|PrintAreaRect.X1=0|PrintAreaRect.Y1=0|PrintAreaRect.X2=0|PrintAreaRect.Y2=0|DocumentPath=All SCH Documents
[PublishSettings]
OutputFilePath1=C:\Users\guoyr\Desktop\valveboard\hardware\out\
ReleaseManaged1=0
OutputBasePath1=out\
OutputPathMedia1=
OutputPathMediaValue1=
OutputPathOutputer1=
OutputPathOutputerPrefix1=
OutputPathOutputerValue1=
OutputFileName1=Job1.PDF
OutputFileNameMulti1=
UseOutputNameForMulti1=1
OutputFileNameSpecial1=
OpenOutput1=0
PromptOverwrite1=1
PublishMethod1=1
ZoomLevel1=50
FitSCHPrintSizeToDoc1=1
FitPCBPrintSizeToDoc1=1
GenerateNetsInfo1=1
MarkPins1=1
MarkNetLabels1=1
MarkPortsId1=1
GenerateTOC1=1
ShowComponentParameters1=1
GlobalBookmarks1=0
PDFACompliance1=Disabled
PDFVersion1=Default
OutputFilePath2=C:\Users\guoyr\Desktop\valveboard\hardware\out\
ReleaseManaged2=0
OutputBasePath2=out\
OutputPathMedia2=
OutputPathMediaValue2=
OutputPathOutputer2=[Output Name]
OutputPathOutputerPrefix2=
OutputPathOutputerValue2=
OutputFileName2=
OutputFileNameMulti2=
UseOutputNameForMulti2=1
OutputFileNameSpecial2=
OpenOutput2=0
[GeneratedFilesSettings]
RelativeOutputPath1=C:\Users\guoyr\Desktop\valveboard\hardware\out\
OpenOutputs1=0
RelativeOutputPath2=C:\Users\guoyr\Desktop\valveboard\hardware\out\
OpenOutputs2=0
AddToProject2=0
TimestampFolder2=0
UseOutputName2=1
OpenODBOutput2=0
OpenGerberOutput2=0
OpenNCDrillOutput2=0
OpenIPCOutput2=0
EnableReload2=0

Binary file not shown.

Before

Width:  |  Height:  |  Size: 29 KiB

Binary file not shown.

Before

Width:  |  Height:  |  Size: 1.9 MiB

View File

@ -1,17 +1,11 @@
# 阀板硬件
相对于以前v1.1版本,终于,**改成超六类屏蔽网线通信了**,这是为了改善通信质量,并没有用网络相关的协议
这个文件夹下是丁坤画的48路阀板的原理图和PCB用于烟梗分选机。整个机器用了六块48路阀板驱动200路喷嘴阀的型号是合肥旭伟电子气动有限公司的XW-F16这个阀一个可以连接并控制16个喷嘴。
这个文件夹下是48路阀板的原理图和PCB用于烟梗分选机。整个机器用了六块48路阀板驱动256路喷嘴阀的型号是合肥旭伟电子气动有限公司的XW-F16这个阀一个可以连接并控制16个喷嘴。每个阀的电阻是14欧姆高压启动时峰值电流约1.5A低压保持电流约0.8A。
![image-20211226143651047](README.assets/image-20211226143651047.png)
**下面的说明仅针对丁坤画的阀板v1.2,丁坤的阀板简化了供电,添加了不同的接口,但总体思路是一样的**,此外丁坤和汪学良的阀板引脚分配并不相同,具体见阀板程序`../firmware`。
## 概述
**汪学良的板子供电数量和标号与下面所述的丁坤阀板v1.2稍有不同,非粗体字体是对丁坤板子的说明,请留意。**
48个电磁阀连接在顶部的12个接口上当接口上有电压时电流流过电磁阀电磁阀打开。由于电磁阀工作需要大电流大电压且为感性器件容易影响发出控制信号的CPLD芯片因此需要独立且隔离的电源这个电源标为`LOW`,参考为`PGND`。为加快电磁阀开启,在开启瞬间会输出一个高电压,这是另一个独立的电源,标为`HIGH`,参考也为`PGND`。这个高电压会在电磁阀开启后被断开,随后施加标号为`LOW`的保持电压,用来保持电磁阀的打开状态。需要电磁阀关闭则切断保持电压。
上面的过程由光耦隔离驱动端MOS和控制芯片CPLD提供给光耦输出端的电压标号为`+12V`,参考为`PGND`。
@ -31,31 +25,13 @@ CPLD为控制芯片接收外部信号并将控制信号输出到板上的光
| DGND | 0V |
| +12V | 12V |
**本次所用的阀板加速开启用的高电压为直流100V、保持用的低电压为直流12V另有光耦、CPLD等的弱电供电。**
下面是阀板正面视图。
![image-20220519222236422](./README.assets/image-20220519222236422.png)
## 通信接口
**下面非粗体字体是丁坤的阀板v1.2说明,请注意**
![image-20211121225906720](README.assets/image-20211121225906720.png)
`LVDS`的接口的信号是遵循通信协议的LVDS信号注意虽然看起来像网口实则为LVDS信号具体引脚定义自行看原理图。
## 版号
**下面非粗体字体是丁坤的阀板v1.2说明需要注意的是版号没有意义CPLD中程序目前不识别**
本次所用的阀板加速开启用的高电压为直流100V、保持用的低电压为直流12V
## 调试
**版号**
在`MB VER.`丝印标志的区域有`S1`、`S2`、`S3`、`S4`标注的0欧电阻焊接位按`0`、`1`标注焊接即可注意0和1不能都焊。这个设置是为阀板级联做的冗余。
在`INFO`丝印标志的区域有`S1`、`S2`、`S3`、`S4`标注的0欧电阻焊接位按`0`、`1`标注焊接即可注意0和1不能都焊。这个设置是为阀板级联做的冗余。
**烧录**
@ -63,22 +39,56 @@ CPLD烧录口为简牛口用USB Blaster烧录的开发软件为Quartus。
**观察开关量**
在正面那排光耦前有LED焊接位可以焊接上LED打开的阀门那一路对应的LED亮当然注意重新计算和焊接所需的串联限流电阻
在正面那排光耦前有LED打开的阀门那一路对应的LED亮。选用LED和串联限流电阻时查看[issue#2](https://github.com/NanjingForestryUniversity/valveboard/issues/2)
**电源**
数字电源输入为12V在板子上转换为3.3V,网络标号+3.3V为防止电磁阀上电瞬间直接误动作因此给光耦电源加入RC延时电路输出标号为+3.3VGG按设计延时约500ms这个时间远大于CPLD初始化开始工作的时间因此电磁阀上电瞬间不会误动作。
数字电源输入附近`3.3V`的LED亮不代表真的就是3.3V也许是12V直接短路到了网络上。右上角电源接口附近的灯指示输入的电压。另外板子上有大量保险丝包括右上角附近的两个黑色壳子里面打开也是保险丝。
数字电源输入为12V在板子上转换为3.3V,网络标号+3.3V为防止电磁阀上电瞬间直接误动作因此给光耦电源加入RC延时电路输出标号为+3.3VGG按设计延时约500ms这个时间远大于CPLD初始化开始工作的时间因此电磁阀上电瞬间不会误动作。此外还添加了防反接保护查看[issue#3](https://github.com/NanjingForestryUniversity/valveboard/issues/3)
## 生产制造
所需器件BOM里都有板子开窗部分要加锡钢网上已经体现了
所需器件BOM里都有板子开窗部分要加锡钢网上已经体现了。测试板子是嘉立创做的SMT也是嘉立创直插元件和芯片需手焊
测试板子是嘉立创做的SMT也是嘉立创直插元件和芯片需手焊
## Changelog
### v1.0
## 其他信息
绿色的阀板,测试版本,继承于远古的原理图和布局
板子上标有CE、FC、WEEE等认证这些都是随手放上去的完全没有进行过这些认证。如果要了解板子工作原理自行看原理图原理图内的标号命名来自于老阀板很让人不知所措要有耐心才能看下去
### v1.1
蓝色的阀板过奕任选型和画原理图丁坤layout具有ISO、LVDS、TTL接口见[b01-h1.1-p1.1-f1.1](https://github.com/NanjingForestryUniversity/valveboard/releases/tag/b01-h1.1-p1.1-f1.1)
### v1.2
黄色的阀板过奕任画原理图和layout见 [b02-h1.2-p1.1-f1.2](https://github.com/NanjingForestryUniversity/valveboard/releases/tag/b02-h1.2-p1.1-f1.2)
- 采用带屏蔽的超六类RJ45端口代替原来的牛角座增强了通信的稳定性
- 删除了ISO隔离端口
- 删除了LVDS的BYPASS端口
- 删除了TTL端口
- 修改电磁喷阀的接口为更容易买到的器件,[器件详情](https://detail.tmall.com/item.htm?spm=a230r.1.14.52.2b5b4e50D2a4NS&id=633917290163&ns=1&abbucket=5&skuId=4696862330457)
- 增加了光隔数字部分电源的延迟上电电路,避免启动瞬间喷阀动作
- 修改了1N4148的封装为SOD-123方便SMT
- 略微优化了板子尺寸,调整了安装孔位置和个数
### v1.3
绿色的阀板过奕任画原理图和layout见[b02-h1.3-p1.1-f1.3](https://github.com/NanjingForestryUniversity/valveboard/releases/tag/b02-h1.3-p1.1-f1.3)
- 重画了原理图和PCBPCB尺寸减小
- 增大阻容器件封装解决100V耐压风险[issue#1](https://github.com/NanjingForestryUniversity/valveboard/issues/1)
- LED改为黄绿色[issue#2](https://github.com/NanjingForestryUniversity/valveboard/issues/2)
- 添加了数字电源防反接,[issue#3](https://github.com/NanjingForestryUniversity/valveboard/issues/3)
- 取消了所有保险丝
- 增加了每一路的标识丝印,南林的徽标
- 重新排列了阀的连接器,方便插拔
- 有需要的线路上增加了开窗,提高载流能力
## 作者
[过奕任](https://github.com/3703781)和丁坤一起设计了电路,画了板子。欢迎提[issue](https://github.com/NanjingForestryUniversity/valveboard/issues)bug随缘解决。
丁坤2019年入学时就被师兄叫去焊接汪学良的阀板后来和过奕任接替师兄做了这个仓库里的阀板。丁坤已经毕业但也很乐意解答关于板子的所有问题。丁坤QQ1091546069、丁坤电话17761700156。
过奕任2020年入学目前正打算找其他人接管这个库毕业了就不要找他但永远可以找丁坤。
丁坤2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156他刚入学时就被师兄叫去焊接汪学良的阀板后来接替师兄做了这个仓库里的阀板无论有没有毕业都很乐意解答关于板子的所有问题

BIN
hardware/VALVEBOARD.PCBDwf Normal file

Binary file not shown.

View File

@ -1,7 +1,7 @@
[Design]
Version=1.0
HierarchyMode=0
ChannelRoomNamingStyle=0
ChannelRoomNamingStyle=2
ReleasesFolder=
ChannelDesignatorFormatString=$Component_$RoomName
ChannelRoomLevelSeperator=_
@ -13,7 +13,7 @@ TemplateLocationPath=
PinSwapBy_Netlabel=1
PinSwapBy_Pin=1
AllowPortNetNames=0
AllowSheetEntryNetNames=1
AllowSheetEntryNetNames=0
AppendSheetNumberToLocalNets=0
NetlistSinglePinNets=0
DefaultConfiguration=Sources
@ -21,19 +21,17 @@ UserID=0xFFFFFFFF
DefaultPcbProtel=1
DefaultPcbPcad=0
ReorderDocumentsOnCompile=1
NameNetsHierarchically=0
NameNetsHierarchically=1
PowerPortNamesTakePriority=0
AutoSheetNumbering=1
AutoCrossReferences=0
NewIndexingOfSheetSymbols=0
PushECOToAnnotationFile=1
DItemRevisionGUID=
ReportSuppressedErrorsInMessages=0
FSMCodingStyle=eFMSDropDownList_OneProcess
FSMEncodingStyle=eFMSDropDownList_OneHot
IsProjectConflictPreventionWarningsEnabled=0
IsVirtualBomDocumentRemoved=0
OutputPath=
OutputPath=Project Outputs for ValveBoard - Copy
LogFolderPath=
ManagedProjectGUID=FC1EC5C6-BF66-4CE3-84B0-9B348172F064
VaultGUID=9B77029D-195C-4D13-89B3-25CAE3B381CD
@ -46,7 +44,7 @@ PrefsVaultGUID=
PrefsRevisionGUID=
[Document1]
DocumentPath=sch\VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc
DocumentPath=sch\VALVEBOARD_Controller.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
@ -55,8 +53,8 @@ AnnotateScope=All
AnnotateOrder=0
DoLibraryUpdate=0
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
@ -128,11 +126,11 @@ ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=HIODHQLZ
DocumentUniqueId=DTFHISHF
[Document6]
DocumentPath=sch\VALVEBOARD_Isolation.SchDoc
AnnotationEnabled=0
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
@ -140,16 +138,16 @@ AnnotateScope=All
AnnotateOrder=1
DoLibraryUpdate=0
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=GIPQYHBD
[Document7]
DocumentPath=sch\VALVEBOARD_ValvePower_ValveInterface.SchDoc
AnnotationEnabled=0
DocumentPath=sch\VALVEBOARD_ValveInterface.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
@ -157,16 +155,16 @@ AnnotateScope=All
AnnotateOrder=2
DoLibraryUpdate=0
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=WSQZKVKS
[Document8]
DocumentPath=sch\VALVEBOARD_ValveDrive1.SchDoc
AnnotationEnabled=0
DocumentPath=sch\VALVEBOARD_ValveDrive.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
@ -174,8 +172,8 @@ AnnotateScope=All
AnnotateOrder=3
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
@ -216,58 +214,7 @@ GenerateClassCluster=0
DocumentUniqueId=SPCOHXLI
[Document11]
DocumentPath=sch\VALVEBOARD_ValveDrive2.SchDoc
AnnotationEnabled=0
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=4
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=JHBEPRGI
[Document12]
DocumentPath=sch\VALVEBOARD_ValveDrive3.SchDoc
AnnotationEnabled=0
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=5
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=JYBDHIJB
[Document13]
DocumentPath=sch\VALVEBOARD_ValveDrive4.SchDoc
AnnotationEnabled=0
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=6
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=0
ClassGenCCAutoRoomEnabled=0
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=CVFQPNCY
[Document14]
DocumentPath=pcb\kunkun.PcbDoc
DocumentPath=VALVEBOARD.PCBDwf
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
@ -281,10 +228,61 @@ ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=KQERGAOO
DocumentUniqueId=
[Document12]
DocumentPath=sch\ValveBoard - Copy.BomDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[Document13]
DocumentPath=sch\VALVEBOARD_DigitalPower.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=10
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=EMRBZHBW
[Document14]
DocumentPath=sch\VALVEBOARD_ValvePower.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=8
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=OQODMHEX
[Document15]
DocumentPath=kunkun.PCBDwf
DocumentPath=sch\VALVEBOARD_Isolation.Harness
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
@ -301,7 +299,24 @@ GenerateClassCluster=0
DocumentUniqueId=
[Document16]
DocumentPath=sch\ValveBoard - Copy.BomDoc
DocumentPath=sch\VALVEBOARD_PostIsolation.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=9
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=PROZNUNI
[Document17]
DocumentPath=sch\VALVEBOARD_PostIsolation.Harness
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
@ -317,6 +332,108 @@ DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[Document18]
DocumentPath=sch\VALVEBOARD_Top.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=11
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=HWMDVIPF
[Document19]
DocumentPath=sch\VALVEBOARD_DigitalInterface.SchDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=12
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=QSAMXHCO
[Document20]
DocumentPath=sch\VALVEBOARD_Controller.Harness
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[Document21]
DocumentPath=sch\VALVEBOARD_DigitalInterface.Harness
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[Document22]
DocumentPath=Job.OutJob
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=
[Document23]
DocumentPath=pcb\VALVEBOARD_Kun.PcbDoc
AnnotationEnabled=1
AnnotateStartValue=1
AnnotationIndexControlEnabled=0
AnnotateSuffix=
AnnotateScope=All
AnnotateOrder=-1
DoLibraryUpdate=1
DoDatabaseUpdate=1
ClassGenCCAutoEnabled=1
ClassGenCCAutoRoomEnabled=1
ClassGenNCAutoScope=None
DItemRevisionGUID=
GenerateClassCluster=0
DocumentUniqueId=XRFYHIXV
[Configuration1]
Name=Sources
ParameterCount=0
@ -353,18 +470,18 @@ SCH_ShowBlankets=-1
SCH_NoERCSymbolsToShow="Thin Cross","Thick Cross","Small Cross",Checkbox,Triangle
SCH_ShowNote=-1
SCH_ShowNoteCollapsed=-1
SCH_ExpandLogicalToPhysical=0
SCH_ExpandLogicalToPhysical=-1
SCH_VariantName=[No Variations]
SCH_ExpandComponentDesignators=-1
SCH_ExpandNetlabels=0
SCH_ExpandPorts=0
SCH_ExpandSheetNumber=0
SCH_ExpandDocumentNumber=0
SCH_ExpandNetlabels=-1
SCH_ExpandPorts=-1
SCH_ExpandSheetNumber=-1
SCH_ExpandDocumentNumber=-1
SCH_HasExpandLogicalToPhysicalSheets=-1
SaveSettingsToOutJob=0
[Generic_EDE]
OutputDir=
OutputDir=Project Outputs for ValveBoard - Copy
[OutputGroup1]
Name=Netlist Outputs
@ -381,11 +498,101 @@ OutputName2=PADS ASCII Netlist
OutputDocumentPath2=
OutputVariantName2=
OutputDefault2=0
OutputType3=CadnetixNetlist
OutputName3=Cadnetix Netlist
OutputDocumentPath3=
OutputVariantName3=
OutputDefault3=0
OutputType4=CalayNetlist
OutputName4=Calay Netlist
OutputDocumentPath4=
OutputVariantName4=
OutputDefault4=0
OutputType5=EDIF
OutputName5=EDIF for PCB
OutputDocumentPath5=
OutputVariantName5=
OutputDefault5=0
OutputType6=EESofNetlist
OutputName6=EESof Netlist
OutputDocumentPath6=
OutputVariantName6=
OutputDefault6=0
OutputType7=IntergraphNetlist
OutputName7=Intergraph Netlist
OutputDocumentPath7=
OutputVariantName7=
OutputDefault7=0
OutputType8=MentorBoardStationNetlist
OutputName8=Mentor BoardStation Netlist
OutputDocumentPath8=
OutputVariantName8=
OutputDefault8=0
OutputType9=MultiWire
OutputName9=MultiWire
OutputDocumentPath9=
OutputVariantName9=
OutputDefault9=0
OutputType10=OrCadPCB2Netlist
OutputName10=Orcad/PCB2 Netlist
OutputDocumentPath10=
OutputVariantName10=
OutputDefault10=0
OutputType11=Pcad
OutputName11=Pcad for PCB
OutputDocumentPath11=
OutputVariantName11=
OutputDefault11=0
OutputType12=PCADnltNetlist
OutputName12=PCADnlt Netlist
OutputDocumentPath12=
OutputVariantName12=
OutputDefault12=0
OutputType13=Protel2Netlist
OutputName13=Protel2 Netlist
OutputDocumentPath13=
OutputVariantName13=
OutputDefault13=0
OutputType14=ProtelNetlist
OutputName14=Protel
OutputDocumentPath14=
OutputVariantName14=
OutputDefault14=0
OutputType15=RacalNetlist
OutputName15=Racal Netlist
OutputDocumentPath15=
OutputVariantName15=
OutputDefault15=0
OutputType16=RINFNetlist
OutputName16=RINF Netlist
OutputDocumentPath16=
OutputVariantName16=
OutputDefault16=0
OutputType17=SciCardsNetlist
OutputName17=SciCards Netlist
OutputDocumentPath17=
OutputVariantName17=
OutputDefault17=0
OutputType18=TangoNetlist
OutputName18=Tango Netlist
OutputDocumentPath18=
OutputVariantName18=
OutputDefault18=0
OutputType19=TelesisNetlist
OutputName19=Telesis Netlist
OutputDocumentPath19=
OutputVariantName19=
OutputDefault19=0
OutputType20=WireListNetlist
OutputName20=WireList Netlist
OutputDocumentPath20=
OutputVariantName20=
OutputDefault20=0
[OutputGroup2]
Name=Simulator Outputs
Description=
TargetPrinter=Microsoft XPS Document Writer
TargetPrinter=\\Desktop-k75ippc\HP LaserJet Professional M1136 MFP
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
[OutputGroup3]
@ -399,6 +606,30 @@ OutputDocumentPath1=
OutputVariantName1=
OutputDefault1=0
PageOptions1=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
Configuration1_Name1=OutputConfigurationParameter1
Configuration1_Item1=DesignatorDisplayMode=Physical|PrintArea=DesignExtent|PrintAreaLowerLeftCornerX=0|PrintAreaLowerLeftCornerY=0|PrintAreaUpperRightCornerX=0|PrintAreaUpperRightCornerY=0|Record=PcbPrintView|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name2=OutputConfigurationParameter2
Configuration1_Item2=IncludeBoardCutouts=False|IncludeBottomLayerComponents=True|IncludeMultiLayerComponents=True|IncludeTopLayerComponents=True|IncludeViewports=True|Index=0|Mirror=False|Name=Multilayer Composite Print|PadNumberFontSize=14|Record=PcbPrintOut|ShowHoles=False|ShowPadNets=False|ShowPadNumbers=False|SubstituteFonts=False|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name3=OutputConfigurationParameter3
Configuration1_Item3=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=TopOverlay|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name4=OutputConfigurationParameter4
Configuration1_Item4=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=TopLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name5=OutputConfigurationParameter5
Configuration1_Item5=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=BottomLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name6=OutputConfigurationParameter6
Configuration1_Item6=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=MultiLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name7=OutputConfigurationParameter7
Configuration1_Item7=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=BottomOverlay|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name8=OutputConfigurationParameter8
Configuration1_Item8=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=KeepOutLayer|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name9=OutputConfigurationParameter9
Configuration1_Item9=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical1|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name10=OutputConfigurationParameter10
Configuration1_Item10=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical2|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name11=OutputConfigurationParameter11
Configuration1_Item11=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical13|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
Configuration1_Name12=OutputConfigurationParameter12
Configuration1_Item12=CArc=Full|CFill=Full|Comment=Full|Coordinate=Full|CPad=Full|CRegion=Full|CText=Full|CTrack=Full|CVia=Full|Designator=Full|Dimension=Full|DLayer1=TopLayer|DLayer2=BottomLayer|DrillType=Regular|FArc=Full|FFill=Full|FPad=Full|FRegion=Full|FText=Full|FTrack=Full|FVia=Full|Layer=Mechanical15|Polygon=Full|PrintOutIndex=0|Record=PcbPrintLayer|DocumentPath=C:\Users\guoyr\Desktop\valveboard\hardware\sch\VALVEBOARD_Kun.PcbDoc
OutputType2=PCB 3D Print
OutputName2=PCB 3D Print
OutputDocumentPath2=
@ -449,11 +680,23 @@ OutputDocumentPath9=
OutputVariantName9=
OutputDefault9=0
PageOptions9=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
OutputType10=PDF3D
OutputName10=PDF3D
OutputDocumentPath10=
OutputVariantName10=[No Variations]
OutputDefault10=0
PageOptions10=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
OutputType11=PDF3D MBA
OutputName11=PDF3D MBA
OutputDocumentPath11=
OutputVariantName11=
OutputDefault11=0
PageOptions11=Record=PageOptions|CenterHorizontal=True|CenterVertical=True|PrintScale=1.00|XCorrection=1.00|YCorrection=1.00|PrintKind=1|BorderSize=5000000|LeftOffset=0|BottomOffset=0|Orientation=2|PaperLength=1000|PaperWidth=1000|Scale=100|PaperSource=7|PrintQuality=-3|MediaType=1|DitherType=10|PrintScaleMode=1|PaperKind=A4|PaperIndex=9
[OutputGroup4]
Name=Assembly Outputs
Description=
TargetPrinter=HP LaserJet Professional M1136 MFP
TargetPrinter=\\Desktop-k75ippc\HP LaserJet Professional M1136 MFP
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=Assembly
OutputName1=Assembly Drawings
@ -511,7 +754,7 @@ OutputDefault3=0
[OutputGroup5]
Name=Fabrication Outputs
Description=
TargetPrinter=HP LaserJet Professional M1136 MFP
TargetPrinter=\\Desktop-k75ippc\HP LaserJet Professional M1136 MFP
PrinterOptions=Record=PrinterOptions|Copies=1|Duplex=1|TrueTypeOptions=3|Collate=1|PrintJobKind=1|PrintWhat=1
OutputType1=NC Drill
OutputName1=NC Drill Files
@ -848,6 +1091,21 @@ OutputName8=Specctra Design PCB
OutputDocumentPath8=
OutputVariantName8=
OutputDefault8=0
OutputType9=ExportIDF
OutputName9=Export IDF
OutputDocumentPath9=
OutputVariantName9=
OutputDefault9=0
OutputType10=MBAExportPARASOLID
OutputName10=Export PARASOLID
OutputDocumentPath10=
OutputVariantName10=
OutputDefault10=0
OutputType11=MBAExportSTEP
OutputName11=Export STEP
OutputDocumentPath11=
OutputVariantName11=
OutputDefault11=0
[OutputGroup10]
Name=PostProcess Outputs
@ -1177,7 +1435,6 @@ Type122=2
Type123=1
Type124=1
Type125=1
Type126=1
[ERC Connection Matrix]
L1=NNNNNNNNNNNWNNNWW
@ -1215,7 +1472,7 @@ CompClassManualEnabled=0
CompClassManualRoomEnabled=0
NetClassAutoBusEnabled=1
NetClassAutoCompEnabled=0
NetClassAutoNamedHarnessEnabled=0
NetClassAutoNamedHarnessEnabled=1
NetClassManualEnabled=1
NetClassSeparateForBusSections=0

View File

@ -1,7 +1,23 @@
Record=TopLevelDocument|FileName=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|SheetNumber=1
Record=NoMainPathDocument|SourceDocument=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|FileName=VALVEBOARD_Isolation.SchDoc|SheetNumber=2
Record=NoMainPathDocument|SourceDocument=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|FileName=VALVEBOARD_ValveDrive1.SchDoc|SheetNumber=4
Record=NoMainPathDocument|SourceDocument=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|FileName=VALVEBOARD_ValveDrive2.SchDoc|SheetNumber=5
Record=NoMainPathDocument|SourceDocument=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|FileName=VALVEBOARD_ValveDrive3.SchDoc|SheetNumber=6
Record=NoMainPathDocument|SourceDocument=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|FileName=VALVEBOARD_ValveDrive4.SchDoc|SheetNumber=7
Record=NoMainPathDocument|SourceDocument=VALVEBOARD_DigitalPower_DigitalInterface_Controller.SchDoc|FileName=VALVEBOARD_ValvePower_ValveInterface.SchDoc|SheetNumber=3
Record=TopLevelDocument|FileName=VALVEBOARD_Top.SchDoc|SheetNumber=1
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=Controller|SchDesignator=Controller|FileName=VALVEBOARD_Controller.SchDoc|SheetNumber=2|SymbolType=Normal|RawFileName=VALVEBOARD_Controller.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=DigitalInterface|SchDesignator=DigitalInterface|FileName=VALVEBOARD_DigitalInterface.SchDoc|SheetNumber=9|SymbolType=Normal|RawFileName=VALVEBOARD_DigitalInterface.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=DigitalPower|SchDesignator=DigitalPower|FileName=VALVEBOARD_DigitalPower.SchDoc|SheetNumber=3|SymbolType=Normal|RawFileName=VALVEBOARD_DigitalPower.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation1|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation2|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation3|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation4|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation5|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation6|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation7|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation8|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation9|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation10|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation11|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=PostIsolation12|SchDesignator=Repeat(PostIsolation,1,12)|FileName=VALVEBOARD_PostIsolation.SchDoc|SheetNumber=5|SymbolType=Normal|RawFileName=VALVEBOARD_PostIsolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_Top.SchDoc|Designator=ValvePower|SchDesignator=ValvePower|FileName=VALVEBOARD_ValvePower.SchDoc|SheetNumber=4|SymbolType=Normal|RawFileName=VALVEBOARD_ValvePower.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_PostIsolation.SchDoc|Designator=Drive1|SchDesignator=Repeat(Drive,1,4)|FileName=VALVEBOARD_ValveDrive.SchDoc|SheetNumber=8|SymbolType=Normal|RawFileName=VALVEBOARD_ValveDrive.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_PostIsolation.SchDoc|Designator=Drive2|SchDesignator=Repeat(Drive,1,4)|FileName=VALVEBOARD_ValveDrive.SchDoc|SheetNumber=8|SymbolType=Normal|RawFileName=VALVEBOARD_ValveDrive.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_PostIsolation.SchDoc|Designator=Drive3|SchDesignator=Repeat(Drive,1,4)|FileName=VALVEBOARD_ValveDrive.SchDoc|SheetNumber=8|SymbolType=Normal|RawFileName=VALVEBOARD_ValveDrive.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_PostIsolation.SchDoc|Designator=Drive4|SchDesignator=Repeat(Drive,1,4)|FileName=VALVEBOARD_ValveDrive.SchDoc|SheetNumber=8|SymbolType=Normal|RawFileName=VALVEBOARD_ValveDrive.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_PostIsolation.SchDoc|Designator=Interface|SchDesignator=Interface|FileName=VALVEBOARD_ValveInterface.SchDoc|SheetNumber=7|SymbolType=Normal|RawFileName=VALVEBOARD_ValveInterface.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=
Record=SheetSymbol|SourceDocument=VALVEBOARD_PostIsolation.SchDoc|Designator=Isolation|SchDesignator=Isolation|FileName=VALVEBOARD_Isolation.SchDoc|SheetNumber=6|SymbolType=Normal|RawFileName=VALVEBOARD_Isolation.SchDoc|DesignItemId= |SourceLibraryName= |ObjectKind=Sheet Symbol|RevisionGUID= |ItemGUID= |VaultGUID=

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

File diff suppressed because it is too large Load Diff

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

524
hardware/res/nfu.svg Normal file

File diff suppressed because one or more lines are too long

After

Width:  |  Height:  |  Size: 161 KiB

View File

@ -0,0 +1 @@
SKUN=SDATA,SCLK,SEN

Binary file not shown.

View File

@ -0,0 +1 @@
SKUN=SDATA,SCLK,SEN

Binary file not shown.

Binary file not shown.

View File

@ -0,0 +1 @@
ISO_4D=D4,D3,D2,D1

View File

@ -0,0 +1 @@
ISO_4D=D1,D2,D3,D4

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

Binary file not shown.

View File

@ -1,4 +1,4 @@
|RECORD=BOM|VERSION=2|FILENAME=D:\guoyiren\valveboard\hardware\sch\ValveBoard - Copy.$$$|KIND=ALTIUM_DESIGNER_LIVEBOM|DATE=19.05.2022|TIME=14:59:10|CURRENCY=USD|PRODUCTIONQUANTITY=1|PERUNITTARGETPRICE=0|PERUNITACTUALPRICE=0
|RECORD=BOM|VERSION=2|FILENAME=C:\Users\guoyr\Desktop\valveboard\hardware\sch\ValveBoard - Copy.$$$|KIND=ALTIUM_DESIGNER_LIVEBOM|DATE=11.07.2022|TIME=15:07:40|CURRENCY=USD|PRODUCTIONQUANTITY=1|PERUNITTARGETPRICE=0|PERUNITACTUALPRICE=0
|RECORD=GeneralOptions|OPENEXPORTED=False|ADDTOPROJECT=False|FORCEFIT=False|NOTFITTED=False|TEMPLATEFILENAME=|BATCHMODE=0|SUPPLIERPRODQTY=0|SUPPLIERAUTOQTY=False|SUPPLIERUSECACHEDPRICING=False|SUPPLIERCURRENCY=|SOLUTIONSPERITEM=1|SUPPLIERSPERSOLUTION=1|DISPLAYTOTALROW=False|DISPLAYSUBTOTALSFORGROUPS=False|INCLUDEPCBPARAMETERS=False|INCLUDEDBPARAMETERS=False|INCLUDEVAULTPARAMETERS=False|INCLUDECLOUDPARAMETERS=False|INCLUDEDOCUMENTPARAMETERS=False|FILTEROUTRISKYSOLUTIONS=True|DESIGNATORGROUPING=No
|RECORD=SortOrderOptions|SORTORDER=
|RECORD=GroupOrderOptions|GROUPORDER=
@ -13,103 +13,110 @@
|RECORD=CheckOptions|CHECKLEVELS=
|RECORD=LineNumberingOptions|STARTVALUE=|INCREMENT=
|RECORD=Catalog|DBLINKFILEPATH=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=1|SELECTEDPARAMETERSHASH=,100nF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=100nF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=1|SELECTEDPARAMETERSHASH=,100nF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=100nF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=2|SELECTEDPARAMETERSHASH=,47uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0805,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=47uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=2|SELECTEDPARAMETERSHASH=,47uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0805,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=47uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=3|SELECTEDPARAMETERSHASH=,10uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0805,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=10uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=3|SELECTEDPARAMETERSHASH=,10uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0805,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=10uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=4|SELECTEDPARAMETERSHASH=,4.7uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0805,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=4.7uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=4|SELECTEDPARAMETERSHASH=,4.7uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0805,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=4.7uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=5|SELECTEDPARAMETERSHASH=,10n,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=10n,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=5|SELECTEDPARAMETERSHASH=,10n,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=10n,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=6|SELECTEDPARAMETERSHASH=,8200pF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=8200pF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=6|SELECTEDPARAMETERSHASH=,8200pF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=8200pF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=7|SELECTEDPARAMETERSHASH=,0.1uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=0.1uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=7|SELECTEDPARAMETERSHASH=,0.1uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=0.1uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=8|SELECTEDPARAMETERSHASH=,1uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=1uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=8|SELECTEDPARAMETERSHASH=,1uF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=1uF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=9|SELECTEDPARAMETERSHASH=,1nF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,Simulation=,value=1nF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-NOMO|DESIGNITEMID=C-NOMO|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-NOMO|NOTE=|LINENUMBER=9|SELECTEDPARAMETERSHASH=,1nF,C-NOMO|COMPONENTPARAMETERS=Comment=C-NOMO,"Component Kind=Standard",Description=,Footprint=C0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-NOMO",PCB3D=,"Pin Info=",precision=5%,"Signal Integrity=",Simulation=,value=1nF,voltage=25V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-POL|DESIGNITEMID=C-POL|ITEMSOURCE=zynq.SchLib|STATUS=Ambiguous component|DESCRIPTION=|USERCOMMENTS=C-POL|NOTE=|LINENUMBER=10|SELECTEDPARAMETERSHASH=,470uF,C-POL|COMPONENTPARAMETERS=Comment=C-POL,"Component Kind=Standard",Description=,Footprint=C_10_10R2,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-POL",PCB3D=,"Pin Info=",Simulation=,value=470uF,voltage=35V|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\C-POL|DESIGNITEMID=C-POL|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=C-POL|NOTE=|LINENUMBER=10|SELECTEDPARAMETERSHASH=,470uF,C-POL|COMPONENTPARAMETERS=Comment=C-POL,"Component Kind=Standard",Description=,Footprint=C_10_10R2,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=C-POL",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,value=470uF,voltage=35V|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\LED|DESIGNITEMID=LED|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=LED|NOTE=|LINENUMBER=11|SELECTEDPARAMETERSHASH=,LED|COMPONENTPARAMETERS=Comment=LED,"Component Kind=Standard",Description=,Footprint=LED0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=LED",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\LED|DESIGNITEMID=LED|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=LED|NOTE=|LINENUMBER=11|SELECTEDPARAMETERSHASH=,LED|COMPONENTPARAMETERS=Comment=LED,"Component Kind=Standard",Description=,Footprint=LED0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=LED",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\FM4007|DESIGNITEMID=FM4007|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=FM4007|NOTE=|LINENUMBER=12|SELECTEDPARAMETERSHASH=,FM4007|COMPONENTPARAMETERS=Comment=FM4007,"Component Kind=Standard",Description=,Footprint=FM4007-T(A7),"Ibis Model=","Library Name=zynq.SchLib","Library Reference=FM4007",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\DIOD1|DESIGNITEMID=DIOD1|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=1N4148|NOTE=|LINENUMBER=13|SELECTEDPARAMETERSHASH=,1N4148|COMPONENTPARAMETERS=Comment=1N4148,"Component Kind=Standard",Description=,Footprint=sod-123,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=DIOD1",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\DIOD1|DESIGNITEMID=DIOD1|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=1N4148|NOTE=|LINENUMBER=13|SELECTEDPARAMETERSHASH=,1N4148|COMPONENTPARAMETERS=Comment=1N4148,"Component Kind=Standard",Description=,Footprint=1N4148,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=DIOD1",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\DIOD1|DESIGNITEMID=DIOD1|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=1N4007|NOTE=|LINENUMBER=14|SELECTEDPARAMETERSHASH=,1N4007|COMPONENTPARAMETERS=Comment=1N4007,"Component Kind=Standard",Description=,Footprint=1N4148,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=DIOD1",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\DIOD1|DESIGNITEMID=DIOD1|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=1N4007|NOTE=|LINENUMBER=14|SELECTEDPARAMETERSHASH=,1N4007|COMPONENTPARAMETERS=Comment=1N4007,"Component Kind=Standard",Description=,Footprint=1N4148,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=DIOD1",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR1X2|DESIGNITEMID=HDR1X2|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR1X2|NOTE=|LINENUMBER=16|SELECTEDPARAMETERSHASH=,HDR1X2|COMPONENTPARAMETERS=Comment=HDR1X2,"Component Kind=Standard",Description=,Footprint=KF301-5.0-2P,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR1X2",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\F50|DESIGNITEMID=F50|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=F50|NOTE=|LINENUMBER=15|SELECTEDPARAMETERSHASH=,F50|COMPONENTPARAMETERS=Comment=F50,"Component Kind=Standard",Description=,Footprint=F-TH2,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=F50",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR2X5|DESIGNITEMID=HDR2X5|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR2X5|NOTE=|LINENUMBER=17|SELECTEDPARAMETERSHASH=,HDR2X5|COMPONENTPARAMETERS=Comment=HDR2X5,"Component Kind=Standard",Description=,Footprint=HDR2X5-NJ,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR2X5",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR1X2|DESIGNITEMID=HDR1X2|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR1X2|NOTE=|LINENUMBER=16|SELECTEDPARAMETERSHASH=,HDR1X2|COMPONENTPARAMETERS=Comment=HDR1X2,"Component Kind=Standard",Description=,Footprint=KF301-5.0-2P,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR1X2",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\RJ45-UP|DESIGNITEMID=RJ45-UP|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=GE-8|NOTE=|LINENUMBER=18|SELECTEDPARAMETERSHASH=,GE-8|COMPONENTPARAMETERS=Comment=GE-8,"Component Kind=Standard",Description=,Footprint=RJ45-UP,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=RJ45-UP",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR2X5|DESIGNITEMID=HDR2X5|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR2X5|NOTE=|LINENUMBER=17|SELECTEDPARAMETERSHASH=,HDR2X5|COMPONENTPARAMETERS=Comment=HDR2X5,"Component Kind=Standard",Description=,Footprint=HDR2X5-NJ,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR2X5",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR1X4|DESIGNITEMID=HDR1X4|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR1X4|NOTE=|LINENUMBER=19|SELECTEDPARAMETERSHASH=,HDR1X4|COMPONENTPARAMETERS=Comment=HDR1X4,"Component Kind=Standard",Description=,Footprint=KF301-5.0-4P,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR1X4",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\RJ45-UP|DESIGNITEMID=RJ45-UP|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=GE-8|NOTE=|LINENUMBER=18|SELECTEDPARAMETERSHASH=,GE-8|COMPONENTPARAMETERS=Comment=GE-8,"Component Kind=Standard",Description=,Footprint=RJ45-UP,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=RJ45-UP",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR1X8|DESIGNITEMID=HDR1X8|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR1X8|NOTE=|LINENUMBER=20|SELECTEDPARAMETERSHASH=,HDR1X8|COMPONENTPARAMETERS=Comment=HDR1X8,"Component Kind=Standard",Description=,Footprint=KF15EDGK-3.81-8P,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR1X8",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR1X4|DESIGNITEMID=HDR1X4|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR1X4|NOTE=|LINENUMBER=19|SELECTEDPARAMETERSHASH=,HDR1X4|COMPONENTPARAMETERS=Comment=HDR1X4,"Component Kind=Standard",Description=,Footprint=KF301-5.0-4P,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR1X4",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\KEY|DESIGNITEMID=KEY|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=KEY|NOTE=|LINENUMBER=21|SELECTEDPARAMETERSHASH=,KEY|COMPONENTPARAMETERS=Comment=KEY,"Component Kind=Standard",Description=,Footprint=KEY735SO,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=KEY",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\HDR1X8|DESIGNITEMID=HDR1X8|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=HDR1X8|NOTE=|LINENUMBER=20|SELECTEDPARAMETERSHASH=,HDR1X8|COMPONENTPARAMETERS=Comment=HDR1X8,"Component Kind=Standard",Description=,Footprint=KF15EDGK-3.81-8P,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=HDR1X8",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\L|DESIGNITEMID=L|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=L|NOTE=|LINENUMBER=22|SELECTEDPARAMETERSHASH=,3.3uH,L|COMPONENTPARAMETERS=Code_IEC=1005,Code_JEITA=0402,Comment=L,"Component Kind=Standard",Description=,Footprint=FXL0624,"Ibis Model=",LatestRevisionDate=29-May-2009,"LatestRevisionNote=IPC-7351 Footprint Added.","Library Name=zynq.SchLib","Library Reference=L","PackageDescription=Chip Inductor",PackageReference=0402-A,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited","Signal Integrity=",Simulation=,Value=3.3uH|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\KEY|DESIGNITEMID=KEY|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=KEY|NOTE=|LINENUMBER=21|SELECTEDPARAMETERSHASH=,KEY|COMPONENTPARAMETERS=Comment=KEY,"Component Kind=Standard",Description=,Footprint=KEY735SO,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=KEY",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\MARKPOINT|DESIGNITEMID=MARKPOINT|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=MARKPOINT|NOTE=|LINENUMBER=23|SELECTEDPARAMETERSHASH=,MARKPOINT|COMPONENTPARAMETERS=Comment=MARKPOINT,"Component Kind=Standard",Description=,Footprint=MARKPOINT-1.0,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=MARKPOINT",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\L|DESIGNITEMID=L|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=L|NOTE=|LINENUMBER=22|SELECTEDPARAMETERSHASH=,3.3uH,L|COMPONENTPARAMETERS=Code_IEC=1005,Code_JEITA=0402,Comment=L,"Component Kind=Standard",Description=,Footprint=FXL0624,"Ibis Model=",LatestRevisionDate=29-May-2009,"LatestRevisionNote=IPC-7351 Footprint Added.","Library Name=zynq.SchLib","Library Reference=L","PackageDescription=Chip Inductor",PackageReference=0402-A,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited",Simulation=,Value=3.3uH|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\POSHOLE|DESIGNITEMID=POSHOLE|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=POSHOLE|NOTE=|LINENUMBER=24|SELECTEDPARAMETERSHASH=,POSHOLE|COMPONENTPARAMETERS=Comment=POSHOLE,"Component Kind=Standard",Description=,Footprint=POSHOLE-3.2,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=POSHOLE",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\MARKPOINT|DESIGNITEMID=MARKPOINT|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=MARKPOINT|NOTE=|LINENUMBER=23|SELECTEDPARAMETERSHASH=,MARKPOINT|COMPONENTPARAMETERS=Comment=MARKPOINT,"Component Kind=Standard",Description=,Footprint=MARKPOINT-1.0,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=MARKPOINT",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\IRF9640|DESIGNITEMID=IRF9640|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=P-Channel Power MOSFET|USERCOMMENTS=IRF9640|NOTE=|LINENUMBER=25|SELECTEDPARAMETERSHASH=IRF9640,"P-Channel Power MOSFET"|COMPONENTPARAMETERS=Comment=IRF9640,"Component Kind=Standard","ComponentLink1Description=Manufacturer Link",ComponentLink1URL=http://www.irf.com/,DatasheetVersion=PD-9.390D,"Description=P-Channel Power MOSFET",Footprint=TO-220AB,"Ibis Model=",LatestRevisionDate=17-Jul-2002,"LatestRevisionNote=Re-released for DXP Platform.","Library Name=zynq.SchLib","Library Reference=IRF9640","Note=Pin 4 not drawn and electrically connected to Pin 2.","PackageDescription=TO, Thru-Hole, Vertical, Heatsink Mounted; 3 In-Line Leads; Pitch 2.54 mm",PackageReference=TO-220AB,PackageVersion=Feb-1997,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited","Signal Integrity=",Simulation=IRF9510|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\POSHOLE|DESIGNITEMID=POSHOLE|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=POSHOLE|NOTE=|LINENUMBER=24|SELECTEDPARAMETERSHASH=,POSHOLE|COMPONENTPARAMETERS=Comment=POSHOLE,"Component Kind=Standard",Description=,Footprint=POSHOLE-3.2,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=POSHOLE",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\IRF640N|DESIGNITEMID=IRF640N|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=N-Channel Power MOSFET|USERCOMMENTS=IRF640N|NOTE=|LINENUMBER=27|SELECTEDPARAMETERSHASH=IRF640N,"N-Channel Power MOSFET"|COMPONENTPARAMETERS=Comment=IRF640N,"Component Kind=Standard","ComponentLink1Description=Manufacturer Link",ComponentLink1URL=http://www.irf.com/,DatasheetVersion=PD-9.814,"Description=N-Channel Power MOSFET",Footprint=TO-220AB,"Ibis Model=",LatestRevisionDate=17-Jul-2002,"LatestRevisionNote=Re-released for DXP Platform.","Library Name=zynq.SchLib","Library Reference=IRF640N","Note=Pin 2 is electrically connected to pin 4.","PackageDescription=TO, Thru-Hole, Vertical, Heatsink Mounted; 3 In-Line Leads; Pitch 2.54 mm",PackageReference=TO-220AB,PackageVersion=Feb-1997,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\IRF9640|DESIGNITEMID=IRF9640|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=P-Channel Power MOSFET|USERCOMMENTS=IRF9640|NOTE=|LINENUMBER=25|SELECTEDPARAMETERSHASH=IRF9640,"P-Channel Power MOSFET"|COMPONENTPARAMETERS=Comment=IRF9640,"Component Kind=Standard","ComponentLink1Description=Manufacturer Link",ComponentLink1URL=http://www.irf.com/,DatasheetVersion=PD-9.390D,"Description=P-Channel Power MOSFET",Footprint=TO-220AB,"Ibis Model=",LatestRevisionDate=17-Jul-2002,"LatestRevisionNote=Re-released for DXP Platform.","Library Name=zynq.SchLib","Library Reference=IRF9640","Note=Pin 4 not drawn and electrically connected to Pin 2.","PackageDescription=TO, Thru-Hole, Vertical, Heatsink Mounted; 3 In-Line Leads; Pitch 2.54 mm",PackageReference=TO-220AB,PackageVersion=Feb-1997,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited",Simulation=IRF9510|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\WSD30L40DN|DESIGNITEMID=WSD30L40DN|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=WSD30L40DN|NOTE=|LINENUMBER=28|SELECTEDPARAMETERSHASH=,WSD30L40DN|COMPONENTPARAMETERS=Comment=WSD30L40DN,"Component Kind=Standard",Description=,Footprint=WSD30L40DN,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=WSD30L40DN",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C148390"|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\ZVN3320F|DESIGNITEMID=ZVN3320F|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=N-Channel MOSFET|USERCOMMENTS=ZVN3320F|NOTE=|LINENUMBER=26|SELECTEDPARAMETERSHASH="N-Channel MOSFET",ZVN3320F|COMPONENTPARAMETERS=Comment=ZVN3320F,"Component Kind=Standard","Description=N-Channel MOSFET",Footprint=ZVN3320F,"Ibis Model=",LatestRevisionDate=17-Jul-2002,"LatestRevisionNote=Re-released for DXP Platform.","Library Name=zynq.SchLib","Library Reference=ZVN3320F","PackageDescription=TO, Flat Index; 3 In-Line, Axial Leads; Body Dia. 4.6mm; Lead Dia. 0.5 mm (max)",PackageReference=E3,PackageVersion=1995/1996,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=29|SELECTEDPARAMETERSHASH=,1K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=1K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\IRF640N|DESIGNITEMID=IRF640N|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=N-Channel Power MOSFET|USERCOMMENTS=IRF640N|NOTE=|LINENUMBER=27|SELECTEDPARAMETERSHASH=IRF640N,"N-Channel Power MOSFET"|COMPONENTPARAMETERS=Comment=IRF640N,"Component Kind=Standard","ComponentLink1Description=Manufacturer Link",ComponentLink1URL=http://www.irf.com/,DatasheetVersion=PD-9.814,"Description=N-Channel Power MOSFET",Footprint=TO-220AB,"Ibis Model=",LatestRevisionDate=17-Jul-2002,"LatestRevisionNote=Re-released for DXP Platform.","Library Name=zynq.SchLib","Library Reference=IRF640N","Note=Pin 2 is electrically connected to pin 4.","PackageDescription=TO, Thru-Hole, Vertical, Heatsink Mounted; 3 In-Line Leads; Pitch 2.54 mm",PackageReference=TO-220AB,PackageVersion=Feb-1997,PCB3D=,"Pin Info=",Published=8-Jun-2000,"Publisher=Altium Limited",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=30|SELECTEDPARAMETERSHASH=,35.7K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=35.7K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\WSD30L40DN|DESIGNITEMID=WSD30L40DN|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=WSD30L40DN|NOTE=|LINENUMBER=28|SELECTEDPARAMETERSHASH=,WSD30L40DN|COMPONENTPARAMETERS=Comment=WSD30L40DN,"Component Kind=Standard",Description=,Footprint=WSD30L40DN,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=WSD30L40DN",PCB3D=,"Pin Info=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C148390"|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=31|SELECTEDPARAMETERSHASH=,31.6K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=31.6K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=29|SELECTEDPARAMETERSHASH=,1K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=1K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=32|SELECTEDPARAMETERSHASH=,8.06K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=8.06K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=30|SELECTEDPARAMETERSHASH=,35.7K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=35.7K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=33|SELECTEDPARAMETERSHASH=,100K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=100K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=31|SELECTEDPARAMETERSHASH=,31.6K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=31.6K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=34|SELECTEDPARAMETERSHASH=,1.69K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=1.69K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=32|SELECTEDPARAMETERSHASH=,8.06K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=8.06K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=35|SELECTEDPARAMETERSHASH=,10K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=10K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=33|SELECTEDPARAMETERSHASH=,100K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=100K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=36|SELECTEDPARAMETERSHASH=,22R,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=22R|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=34|SELECTEDPARAMETERSHASH=,1.69K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=1.69K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=37|SELECTEDPARAMETERSHASH=,1M,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=1M|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=35|SELECTEDPARAMETERSHASH=,10K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=10K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=38|SELECTEDPARAMETERSHASH=,750R,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R1812,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=750R|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=36|SELECTEDPARAMETERSHASH=,22R,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=22R|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=39|SELECTEDPARAMETERSHASH=,100R,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=100R|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=37|SELECTEDPARAMETERSHASH=,1M,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=1M|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=40|SELECTEDPARAMETERSHASH=,4.7K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=4.7K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=38|SELECTEDPARAMETERSHASH=,750R,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R1812,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=750R|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=41|SELECTEDPARAMETERSHASH=,33K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=33K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=39|SELECTEDPARAMETERSHASH=,100R,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=100R|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=42|SELECTEDPARAMETERSHASH=,47K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R2512,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=47K|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=40|SELECTEDPARAMETERSHASH=,4.7K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=4.7K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=43|SELECTEDPARAMETERSHASH=,470,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,"Signal Integrity=",Simulation=,value=470|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=41|SELECTEDPARAMETERSHASH=,33K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0603,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=33K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\SHIELDSUPPORT|DESIGNITEMID=SHIELDSUPPORT|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=SHIELDSUPPORT|NOTE=|LINENUMBER=44|SELECTEDPARAMETERSHASH=,SHIELDSUPPORT|COMPONENTPARAMETERS=Comment=SHIELDSUPPORT,"Component Kind=Standard",Description=,Footprint=SHIELDSUPPORT1,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=SHIELDSUPPORT",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=42|SELECTEDPARAMETERSHASH=,47K,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R2512,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=47K|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=MAX II.SchLib\EPM1270T144C5N|DESIGNITEMID=EPM1270T144C5N|ITEMSOURCE=MAX II.SchLib|STATUS=Unknown|DESCRIPTION=MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5, Pb-Free|USERCOMMENTS=EPM1270T144C5N|NOTE=|LINENUMBER=45|SELECTEDPARAMETERSHASH=EPM1270T144C5N,"MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5, Pb-Free"|COMPONENTPARAMETERS=Code_JEDEC=MS-026-BFB,Comment=EPM1270T144C5N,"Component Kind=Standard","ComponentLink1Description=Manufacturer URL",ComponentLink1URL=http://www.altera.com/,ComponentLink2Description=Handbook,ComponentLink2URL=http://www.altera.com/literature/hb/max2/max2_mii5v1.pdf,"ComponentLink3Description=Package Specification",ComponentLink3URL=http://www.altera.com/literature/ds/dspkg.pdf,"DatasheetVersion=ver1.8, Jul-2006","Description=MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5, Pb-Free",Footprint=TQFP144_N,"Ibis Model=","Library Name=MAX II.SchLib","Library Reference=EPM1270T144C5N","Note=All VCCINT pins must be connected to either 3.3V or 2.5V (but not a combination of both).","PackageDescription=144-Pin Plastic Thin Quad Flat Pack (TQFP144) - Wire Bond",PackageReference=TQFP144,"PackageVersion=ver16.3, Dec-2010",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\R|DESIGNITEMID=R|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=R|NOTE=|LINENUMBER=43|SELECTEDPARAMETERSHASH=,470,R|COMPONENTPARAMETERS=Comment=R,"Component Kind=Standard",Description=,Footprint=R0402,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=R",PCB3D=,"Pin Info=",precision=1%,Simulation=,value=470|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\TPS54620|DESIGNITEMID=TPS54620|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=TPS54620|NOTE=|LINENUMBER=46|SELECTEDPARAMETERSHASH=,TPS54620|COMPONENTPARAMETERS=Comment=TPS54620,"Component Kind=Standard",Description=,Footprint=TPS54620,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=TPS54620",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\SHIELDSUPPORT|DESIGNITEMID=SHIELDSUPPORT|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=SHIELDSUPPORT|NOTE=|LINENUMBER=44|SELECTEDPARAMETERSHASH=,SHIELDSUPPORT|COMPONENTPARAMETERS=Comment=SHIELDSUPPORT,"Component Kind=Standard",Description=,Footprint=SHIELDSUPPORT1,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=SHIELDSUPPORT",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\DS90LV048ATM|DESIGNITEMID=DS90LV048ATM|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=DS90LV048ATM|NOTE=|LINENUMBER=47|SELECTEDPARAMETERSHASH=,DS90LV048ATM|COMPONENTPARAMETERS=Comment=DS90LV048ATM,"Component Kind=Standard",Description=,Footprint=DS90LV048,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=DS90LV048ATM",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=MAX II.SchLib\EPM1270T144C5N|DESIGNITEMID=EPM1270T144C5N|ITEMSOURCE=MAX II.SchLib|STATUS=Unknown|DESCRIPTION=MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5, Pb-Free|USERCOMMENTS=EPM1270T144C5N|NOTE=|LINENUMBER=45|SELECTEDPARAMETERSHASH=EPM1270T144C5N,"MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5, Pb-Free"|COMPONENTPARAMETERS=Code_JEDEC=MS-026-BFB,Comment=EPM1270T144C5N,"Component Kind=Standard","ComponentLink1Description=Manufacturer URL",ComponentLink1URL=http://www.altera.com/,ComponentLink2Description=Handbook,ComponentLink2URL=http://www.altera.com/literature/hb/max2/max2_mii5v1.pdf,"ComponentLink3Description=Package Specification",ComponentLink3URL=http://www.altera.com/literature/ds/dspkg.pdf,"DatasheetVersion=ver1.8, Jul-2006","Description=MAX II 3.3/2.5V CPLD, 116 IOs, 1,270 Logic Elements, 144-Pin Plastic TQFP, Commercial Temperature, Speed Grade 5, Pb-Free",Footprint=TQFP144_N,"Ibis Model=","Library Name=MAX II.SchLib","Library Reference=EPM1270T144C5N","Note=All VCCINT pins must be connected to either 3.3V or 2.5V (but not a combination of both).","PackageDescription=144-Pin Plastic Thin Quad Flat Pack (TQFP144) - Wire Bond",PackageReference=TQFP144,"PackageVersion=ver16.3, Dec-2010",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\PS2801|DESIGNITEMID=PS2801|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=PS2801|NOTE=|LINENUMBER=48|SELECTEDPARAMETERSHASH=,PS2801|COMPONENTPARAMETERS=Comment=PS2801,"Component Kind=Standard",Description=,Footprint=PS2801,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=PS2801",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\TPS54620|DESIGNITEMID=TPS54620|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=TPS54620|NOTE=|LINENUMBER=46|SELECTEDPARAMETERSHASH=,TPS54620|COMPONENTPARAMETERS=Comment=TPS54620,"Component Kind=Standard",Description=,Footprint=TPS54620,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=TPS54620",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\XTAL|DESIGNITEMID=XTAL|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=XTAL|NOTE=|LINENUMBER=49|SELECTEDPARAMETERSHASH=,XTAL|COMPONENTPARAMETERS=Comment=XTAL,"Component Kind=Standard",Description=,Footprint=OSC3225,frequency=20MHZ,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=XTAL",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\DS90LV048ATM|DESIGNITEMID=DS90LV048ATM|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=DS90LV048ATM|NOTE=|LINENUMBER=47|SELECTEDPARAMETERSHASH=,DS90LV048ATM|COMPONENTPARAMETERS=Comment=DS90LV048ATM,"Component Kind=Standard",Description=,Footprint=DS90LV048,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=DS90LV048ATM",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\YTX|DESIGNITEMID=YTX|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=YTX|NOTE=|LINENUMBER=50|SELECTEDPARAMETERSHASH=,YTX|COMPONENTPARAMETERS=Comment=YTX,"Component Kind=Standard",Description=,Footprint=YTX,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=YTX",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\PS2801|DESIGNITEMID=PS2801|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=PS2801|NOTE=|LINENUMBER=48|SELECTEDPARAMETERSHASH=,PS2801|COMPONENTPARAMETERS=Comment=PS2801,"Component Kind=Standard",Description=,Footprint=PS2801,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=PS2801",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\SS34|DESIGNITEMID=SS34|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=SS34|NOTE=|LINENUMBER=|SELECTEDPARAMETERSHASH=,SS34|COMPONENTPARAMETERS=Comment=SS34,"Component Kind=Standard",Description=,Footprint=SS34,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=SS34",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C8678"|CUSTOMPARAMETERS=
|RECORD=PartChoice|PARTCHOICETYPE=AUTO_SCHLINKS|SUPPLIERSOURCE=Ciiva|MANUFACTURER=MDD|MANUFACTURERALIASES=Microdiode Electronics (Shenzhen)Co. Ltd|江苏辰达行电子有限公司|MANUFACTURERPARTNO=SS34|SUPPLIER=LCSC|SUPPLIERPARTNO=C8678|PROVIDERNAME=Ciiva|PROVIDERGUID=7A819525-F41E-4ADC-9CD0-9D9FA8B5FCE8|DESCRIPTION=|RANK=3|AVAILABLEQUANTITY=1073510|BESTUNITPRICE=0|CURRENCY=|ORIGINALCURRENCY=|SUPPLIERURL=https://octopart.com/opatz8j6/a1?t=aaWnMCSrFJoM-7oBlRR9zWGQUUdg-UDKj7mZ5LPrR8n2pwO8kzY49NbhQ5C30c-U9GlowmS98Oy6VkepypxA1Gu5f-gStGAuu-ISkyqbW6M38OcD2tcLiJGUC-MzalG1fpeJdnF-H1t-U_QudX37XS7JlVTe1-ewLYHGMCTiupRaFz0JX34WMfKuSWxEWV7V3v5MI8JT4sjyZ2KNNmd5jFA-JADje587gLdzyzzfZN1-wl97-9nDL__ZGf2vtj_gPy8OXaFsAw|PRODUCTPHOTOURL=|MANUFACTURERPACKAGING=|SUPPLIERPACKAGING=|DATASHEETS=|LASTUPDATEDAT=44753.2693287037|LASTUPDATED=Updated today|PRICECOUNT=0
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\XTAL|DESIGNITEMID=XTAL|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=XTAL|NOTE=|LINENUMBER=49|SELECTEDPARAMETERSHASH=,XTAL|COMPONENTPARAMETERS=Comment=XTAL,"Component Kind=Standard",Description=,Footprint=OSC3225,frequency=20MHZ,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=XTAL",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\ZMM5V6-M|DESIGNITEMID=ZMM5V6-M|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=ZMM5V6-M|NOTE=|LINENUMBER=|SELECTEDPARAMETERSHASH=,ZMM5V6-M|COMPONENTPARAMETERS=Comment=ZMM5V6-M,"Component Kind=Standard",Description=,Footprint=ZMM5V6-M,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=ZMM5V6-M",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C8062"|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\YTX|DESIGNITEMID=YTX|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=YTX|NOTE=|LINENUMBER=50|SELECTEDPARAMETERSHASH=,YTX|COMPONENTPARAMETERS=Comment=YTX,"Component Kind=Standard",Description=,Footprint=YTX,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=YTX",PCB3D=,"Pin Info=",Simulation=|CUSTOMPARAMETERS=
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\BSS131H6327XTSA1|DESIGNITEMID=BSS131H6327XTSA1|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=BSS131H6327XTSA1|NOTE=|LINENUMBER=|SELECTEDPARAMETERSHASH=,BSS131H6327XTSA1|COMPONENTPARAMETERS=Comment=BSS131H6327XTSA1,"Component Kind=Standard",Description=,Footprint=BSS131H6327XTSA1,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=BSS131H6327XTSA1",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C151498"|CUSTOMPARAMETERS=
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\2N7002|DESIGNITEMID=2N7002|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=2N7002|NOTE=|LINENUMBER=|SELECTEDPARAMETERSHASH=,2N7002|COMPONENTPARAMETERS=Comment=2N7002,"Component Kind=Standard",Description=,Footprint=2N7002,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=2N7002",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C8545"|CUSTOMPARAMETERS=
|RECORD=PartChoice|PARTCHOICETYPE=AUTO_SCHLINKS|SUPPLIERSOURCE=Ciiva|MANUFACTURER=Changjiang Electronics|MANUFACTURERALIASES=JIANGSU CHANGJIANG ELECTRONICS TECHNOLOGY|CHANGJIANG|Changjiang Electronics|Changjiang Electronics Technology|JCET|JIANGSU CHANGJIANG ELEC TECH.|江苏长电科技股份有限公司|MANUFACTURERPARTNO=2N7002|SUPPLIER=LCSC|SUPPLIERPARTNO=C8545|PROVIDERNAME=Ciiva|PROVIDERGUID=7A819525-F41E-4ADC-9CD0-9D9FA8B5FCE8|DESCRIPTION=Transistor Mosfet N-ch 60V 0.3A 3-PIN SOT-23 T/r|RANK=3|AVAILABLEQUANTITY=0|BESTUNITPRICE=0|CURRENCY=|ORIGINALCURRENCY=|SUPPLIERURL=|PRODUCTPHOTOURL=|MANUFACTURERPACKAGING=|SUPPLIERPACKAGING=|DATASHEETS=|LASTUPDATEDAT=44652.5418171296|LASTUPDATED=Updated 3 months ago|PRICECOUNT=0
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0
|RECORD=CatalogItem|ITEMTYPE=Automatic-NonVault|UNIQUEID=zynq.SchLib\AO3400A|DESIGNITEMID=AO3400A|ITEMSOURCE=zynq.SchLib|STATUS=Unknown|DESCRIPTION=|USERCOMMENTS=AO3400A|NOTE=|LINENUMBER=|SELECTEDPARAMETERSHASH=,AO3400A|COMPONENTPARAMETERS=Comment=AO3400A,"Component Kind=Standard",Description=,Footprint=AO3400A,"Ibis Model=","Library Name=zynq.SchLib","Library Reference=AO3400A",PCB3D=,"Pin Info=","Signal Integrity=",Simulation=,"Supplier 1=LCSC","Supplier Part Number 1=C20917"|CUSTOMPARAMETERS=
|RECORD=PartChoice|PARTCHOICETYPE=AUTO_SCHLINKS|SUPPLIERSOURCE=Ciiva|MANUFACTURER=Alpha & Omega Semiconductor|MANUFACTURERALIASES=Alpha & Omega Semiconductors|ALPHA AND OMEGA SEMICONDUCTOR|ALPHA AND OMEGA SEMICONDUCTOR (HONG KONG)LTD.|AOS|Alpha & Omega Semiconductors (AOS)|Alpha & Omega Semiconductors(AOS)|AOSMD|Alpha and Omega|Alpha & Omega SemiconductorAOS|阿尔法和欧米加半导体|MANUFACTURERPARTNO=AO3400A|SUPPLIER=LCSC|SUPPLIERPARTNO=C20917|PROVIDERNAME=Ciiva|PROVIDERGUID=7A819525-F41E-4ADC-9CD0-9D9FA8B5FCE8|DESCRIPTION=Trans MOSFET N-CH 30V 5.7A 3-Pin SOT-23|RANK=3|AVAILABLEQUANTITY=0|BESTUNITPRICE=0|CURRENCY=|ORIGINALCURRENCY=|SUPPLIERURL=|PRODUCTPHOTOURL=https://ciiva.com/cloudsearch/fileimage/2200632?src-supplier=Digi-Key|MANUFACTURERPACKAGING=Tape and Reel|SUPPLIERPACKAGING=|DATASHEETS=http://aosmd.com/res/packaging_information/SOT-23-3L.pdf?src-supplier=Digi-Key|https://www.verical.com/server-webapp/html/getDatasheet?partID=64438&src-supplier=Verical|LASTUPDATEDAT=44736.6817939815|LASTUPDATED=Updated 17 days ago|PRICECOUNT=0
|RECORD=PartChoiceGroups|GROUPBYFIELDCOUNT=0

View File

@ -68,6 +68,9 @@ DS90LV048具有内置终端电阻电路设计和布局布线只需注意匹
![image-20211109201037196](./README.assets/image-20211109201037196.png)
## 作者
[过奕任](https://github.com/3703781)、丁坤。老阀板的协议不明确(其实就没有协议,逆向工程后改进出来的这份协议),所以就大概描述了一下协议相关信息。欢迎提[issue](https://github.com/NanjingForestryUniversity/valveboard/issues)bug随缘解决。
**丁坤2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156**,他觉得老阀板的协议不明确(其实就没有协议,逆向工程后改进出来的这份协议),所以就大概描述了一下协议相关信息,无论有没有毕业,都很乐意解答关于这份协议的所有问题
过奕任2020年入学目前正打算找其他人接管这个库毕业了就不要找他但永远可以找丁坤。
丁坤2019年入学虽然已经毕业但也很乐意解答关于这份协议的所有问题。丁坤QQ1091546069、丁坤电话17761700156。

Binary file not shown.