From 528e8f10bfffef9f4878f3165b82b115eba55cc0 Mon Sep 17 00:00:00 2001 From: Miaow <3703781@qq.com> Date: Thu, 26 May 2022 22:16:55 +0800 Subject: [PATCH] fix pin assignment pin 39, 40, 41 order was wrong, which makes it failed to communicate with lower machine --- firmware/README.md | 2 +- firmware/db/prev_cmp_valveboard_firmware.qmsg | 188 ++-- firmware/db/valveboard_firmware.(0).cnf.cdb | Bin 23172 -> 0 bytes firmware/db/valveboard_firmware.(0).cnf.hdb | Bin 5253 -> 0 bytes firmware/db/valveboard_firmware.ace_cmp.cdb | Bin 91749 -> 0 bytes firmware/db/valveboard_firmware.ace_cmp.hdb | Bin 21385 -> 0 bytes firmware/db/valveboard_firmware.asm.qmsg | 7 - firmware/db/valveboard_firmware.asm.rdb | Bin 811 -> 0 bytes firmware/db/valveboard_firmware.asm_labs.ddb | Bin 7464 -> 0 bytes firmware/db/valveboard_firmware.cbx.xml | 5 - firmware/db/valveboard_firmware.cmp.cdb | Bin 92093 -> 0 bytes firmware/db/valveboard_firmware.cmp.hdb | Bin 21398 -> 0 bytes firmware/db/valveboard_firmware.cmp.idb | Bin 4094 -> 0 bytes firmware/db/valveboard_firmware.cmp.logdb | 1 - firmware/db/valveboard_firmware.cmp.rdb | Bin 15741 -> 0 bytes firmware/db/valveboard_firmware.cmp0.ddb | Bin 169598 -> 0 bytes firmware/db/valveboard_firmware.db_info | 2 +- firmware/db/valveboard_firmware.eco.cdb | Bin 736 -> 0 bytes firmware/db/valveboard_firmware.fit.qmsg | 41 - firmware/db/valveboard_firmware.hier_info | 731 --------------- firmware/db/valveboard_firmware.hif | Bin 576 -> 0 bytes firmware/db/valveboard_firmware.lpc.html | 18 - firmware/db/valveboard_firmware.lpc.rdb | Bin 405 -> 0 bytes firmware/db/valveboard_firmware.lpc.txt | 5 - firmware/db/valveboard_firmware.map.cdb | Bin 23276 -> 0 bytes firmware/db/valveboard_firmware.map.hdb | Bin 19322 -> 0 bytes firmware/db/valveboard_firmware.map.logdb | 1 - firmware/db/valveboard_firmware.map.qmsg | 12 - firmware/db/valveboard_firmware.map.rdb | Bin 1270 -> 0 bytes firmware/db/valveboard_firmware.pplq.rdb | Bin 1131 -> 0 bytes firmware/db/valveboard_firmware.pre_map.hdb | Bin 16107 -> 0 bytes ...ard_firmware.root_partition.map.reg_db.cdb | Bin 232 -> 0 bytes firmware/db/valveboard_firmware.routing.rdb | Bin 4046 -> 0 bytes firmware/db/valveboard_firmware.rtlv.hdb | Bin 15771 -> 0 bytes firmware/db/valveboard_firmware.rtlv_sg.cdb | Bin 20816 -> 0 bytes .../db/valveboard_firmware.rtlv_sg_swap.cdb | Bin 204 -> 0 bytes ...lveboard_firmware.sld_design_entry_dsc.sci | Bin 223 -> 0 bytes .../db/valveboard_firmware.smart_action.txt | 1 - firmware/db/valveboard_firmware.sta.qmsg | 25 - firmware/db/valveboard_firmware.sta.rdb | Bin 8084 -> 0 bytes .../db/valveboard_firmware.sta_cmp.5_slow.tdb | Bin 131713 -> 0 bytes .../db/valveboard_firmware.tis_db_list.ddb | Bin 238 -> 0 bytes firmware/db/valveboard_firmware.tmw_info | 6 - firmware/db/valveboard_firmware.vpr.ammdb | Bin 739 -> 0 bytes firmware/incremental_db/README | 11 - .../valveboard_firmware.db_info | 3 - ...valveboard_firmware.root_partition.map.kpt | Bin 7637 -> 0 bytes .../output_files/valveboard_firmware.asm.rpt | 92 -- firmware/output_files/valveboard_firmware.cdf | 2 +- .../output_files/valveboard_firmware.done | 2 +- .../output_files/valveboard_firmware.fit.rpt | 835 ------------------ .../output_files/valveboard_firmware.fit.smsg | 4 - .../valveboard_firmware.fit.summary | 11 - .../output_files/valveboard_firmware.flow.rpt | 116 --- firmware/output_files/valveboard_firmware.jdi | 8 - .../output_files/valveboard_firmware.map.rpt | 381 -------- .../valveboard_firmware.map.summary | 9 - firmware/output_files/valveboard_firmware.pin | 211 ----- firmware/output_files/valveboard_firmware.pof | Bin 27318 -> 0 bytes firmware/output_files/valveboard_firmware.sld | 1 - .../output_files/valveboard_firmware.sta.rpt | 691 --------------- .../valveboard_firmware.sta.summary | 17 - firmware/valveboard_firmware.qsf | 107 ++- firmware/valveboard_firmware.qsf.bak | 53 -- firmware/valveboard_firmware.qws | Bin 619 -> 619 bytes 65 files changed, 201 insertions(+), 3398 deletions(-) delete mode 100644 firmware/db/valveboard_firmware.(0).cnf.cdb delete mode 100644 firmware/db/valveboard_firmware.(0).cnf.hdb delete mode 100644 firmware/db/valveboard_firmware.ace_cmp.cdb delete mode 100644 firmware/db/valveboard_firmware.ace_cmp.hdb delete mode 100644 firmware/db/valveboard_firmware.asm.qmsg delete mode 100644 firmware/db/valveboard_firmware.asm.rdb delete mode 100644 firmware/db/valveboard_firmware.asm_labs.ddb delete mode 100644 firmware/db/valveboard_firmware.cbx.xml delete mode 100644 firmware/db/valveboard_firmware.cmp.cdb delete mode 100644 firmware/db/valveboard_firmware.cmp.hdb delete mode 100644 firmware/db/valveboard_firmware.cmp.idb delete mode 100644 firmware/db/valveboard_firmware.cmp.logdb delete mode 100644 firmware/db/valveboard_firmware.cmp.rdb delete mode 100644 firmware/db/valveboard_firmware.cmp0.ddb delete mode 100644 firmware/db/valveboard_firmware.eco.cdb delete mode 100644 firmware/db/valveboard_firmware.fit.qmsg delete mode 100644 firmware/db/valveboard_firmware.hier_info delete mode 100644 firmware/db/valveboard_firmware.hif delete mode 100644 firmware/db/valveboard_firmware.lpc.html delete mode 100644 firmware/db/valveboard_firmware.lpc.rdb delete mode 100644 firmware/db/valveboard_firmware.lpc.txt delete mode 100644 firmware/db/valveboard_firmware.map.cdb delete mode 100644 firmware/db/valveboard_firmware.map.hdb delete mode 100644 firmware/db/valveboard_firmware.map.logdb delete mode 100644 firmware/db/valveboard_firmware.map.qmsg delete mode 100644 firmware/db/valveboard_firmware.map.rdb delete mode 100644 firmware/db/valveboard_firmware.pplq.rdb delete mode 100644 firmware/db/valveboard_firmware.pre_map.hdb delete mode 100644 firmware/db/valveboard_firmware.root_partition.map.reg_db.cdb delete mode 100644 firmware/db/valveboard_firmware.routing.rdb delete mode 100644 firmware/db/valveboard_firmware.rtlv.hdb delete mode 100644 firmware/db/valveboard_firmware.rtlv_sg.cdb delete mode 100644 firmware/db/valveboard_firmware.rtlv_sg_swap.cdb delete mode 100644 firmware/db/valveboard_firmware.sld_design_entry_dsc.sci delete mode 100644 firmware/db/valveboard_firmware.smart_action.txt delete mode 100644 firmware/db/valveboard_firmware.sta.qmsg delete mode 100644 firmware/db/valveboard_firmware.sta.rdb delete mode 100644 firmware/db/valveboard_firmware.sta_cmp.5_slow.tdb delete mode 100644 firmware/db/valveboard_firmware.tis_db_list.ddb delete mode 100644 firmware/db/valveboard_firmware.tmw_info delete mode 100644 firmware/db/valveboard_firmware.vpr.ammdb delete mode 100644 firmware/incremental_db/README delete mode 100644 firmware/incremental_db/compiled_partitions/valveboard_firmware.db_info delete mode 100644 firmware/incremental_db/compiled_partitions/valveboard_firmware.root_partition.map.kpt delete mode 100644 firmware/output_files/valveboard_firmware.asm.rpt delete mode 100644 firmware/output_files/valveboard_firmware.fit.rpt delete mode 100644 firmware/output_files/valveboard_firmware.fit.smsg delete mode 100644 firmware/output_files/valveboard_firmware.fit.summary delete mode 100644 firmware/output_files/valveboard_firmware.flow.rpt delete mode 100644 firmware/output_files/valveboard_firmware.jdi delete mode 100644 firmware/output_files/valveboard_firmware.map.rpt delete mode 100644 firmware/output_files/valveboard_firmware.map.summary delete mode 100644 firmware/output_files/valveboard_firmware.pin delete mode 100644 firmware/output_files/valveboard_firmware.pof delete mode 100644 firmware/output_files/valveboard_firmware.sld delete mode 100644 firmware/output_files/valveboard_firmware.sta.rpt delete mode 100644 firmware/output_files/valveboard_firmware.sta.summary delete mode 100644 firmware/valveboard_firmware.qsf.bak diff --git a/firmware/README.md b/firmware/README.md index f3ca0de..7390d2e 100644 --- a/firmware/README.md +++ b/firmware/README.md @@ -1,6 +1,6 @@ # 阀板固件 -这是阀板上CPLD的固件,严格意义上也属于硬件,因为是描述的硬件结构。这个固件是按照通信协议写的,但比通信协议能适应更广的传输速度,**烟梗分选机上`SCLK`为1MHz,高电平时间为0.37ms** +这是阀板上CPLD的固件,严格意义上也属于硬件,因为是描述的硬件结构。这个固件是按照通信协议写的,但比通信协议能适应更广的传输速度,**烟梗分选机上`SCLK`为2MHz,高电平时间为0.37ms** ## 如何烧录 diff --git a/firmware/db/prev_cmp_valveboard_firmware.qmsg b/firmware/db/prev_cmp_valveboard_firmware.qmsg index 153d51a..eed86e1 100644 --- a/firmware/db/prev_cmp_valveboard_firmware.qmsg +++ b/firmware/db/prev_cmp_valveboard_firmware.qmsg @@ -1,95 +1,93 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1640336877070 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1640336877070 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 24 17:07:56 2021 " "Processing started: Fri Dec 24 17:07:56 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1640336877070 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640336877070 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640336877070 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1640336877625 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1640336877625 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 valveboard_firmware " "Found entity 1: valveboard_firmware" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1640336891329 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640336891329 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tb_valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file tb_valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 tb_valveboard_firmware " "Found entity 1: tb_valveboard_firmware" { } { { "tb_valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/tb_valveboard_firmware.v" 2 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1640336891329 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640336891329 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "valveboard_firmware " "Elaborating entity \"valveboard_firmware\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1640336891389 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 valveboard_firmware.v(88) " "Verilog HDL assignment warning at valveboard_firmware.v(88): truncated value with size 32 to match size of target (5)" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 88 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1640336891406 "|valveboard_firmware"} -{ "Info" "IFTM_FTM_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 288 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 264 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 143 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 91 -1 0 } } } 0 18000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 -1 1640336892331 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "569 " "Implemented 569 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "5 " "Implemented 5 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1640336892525 ""} { "Info" "ICUT_CUT_TM_OPINS" "96 " "Implemented 96 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1640336892525 ""} { "Info" "ICUT_CUT_TM_LCELLS" "468 " "Implemented 468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1640336892525 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1640336892525 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4707 " "Peak virtual memory: 4707 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1640336892797 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 24 17:08:12 2021 " "Processing ended: Fri Dec 24 17:08:12 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1640336892797 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:16 " "Elapsed time: 00:00:16" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1640336892797 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1640336892797 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1640336892797 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1640336894843 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1640336894845 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 24 17:08:13 2021 " "Processing started: Fri Dec 24 17:08:13 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1640336894845 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1640336894845 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1640336894845 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1640336895074 ""} -{ "Info" "0" "" "Project = valveboard_firmware" { } { } 0 0 "Project = valveboard_firmware" 0 0 "Fitter" 0 0 1640336895074 ""} -{ "Info" "0" "" "Revision = valveboard_firmware" { } { } 0 0 "Revision = valveboard_firmware" 0 0 "Fitter" 0 0 1640336895074 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1640336895171 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1640336895174 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "valveboard_firmware EPM1270T144C5 " "Selected device EPM1270T144C5 for design \"valveboard_firmware\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1640336895182 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1640336895234 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1640336895234 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1640336895393 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1640336895416 ""} -{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144C5 " "Device EPM570T144C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1640336895800 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144I5 " "Device EPM570T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1640336895800 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144A5 " "Device EPM570T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1640336895800 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144I5 " "Device EPM1270T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1640336895800 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144A5 " "Device EPM1270T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1640336895800 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1640336895800 ""} -{ "Critical Warning" "WFIOMGR_PINS_MISSING_LOCATION_INFO" "101 101 " "No exact pin location assignment(s) for 101 pins of 101 total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." { } { } 1 169085 "No exact pin location assignment(s) for %1!d! pins of %2!d! total pins. For the list of pins please refer to the I/O Assignment Warnings table in the fitter report." 0 0 "Fitter" 0 -1 1640336895878 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1640336895979 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1640336895979 ""} -{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1640336895995 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1640336895995 ""} -{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1640336895995 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1640336895995 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 sys_clk " " 1.000 sys_clk" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1640336895995 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1640336895995 ""} -{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1640336896027 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1640336896027 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1640336896043 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "sys_clk Global clock in PIN 18 " "Automatically promoted signal \"sys_clk\" to use Global clock in PIN 18" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 7 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1640336896074 ""} -{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "rst_n Global clock in PIN 20 " "Automatically promoted some destinations of signal \"rst_n\" to use Global clock in PIN 20" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "negedge_line_sen " "Destination \"negedge_line_sen\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 104 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1640336896074 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "fiter_line_sdata " "Destination \"fiter_line_sdata\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 85 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1640336896074 ""} } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 8 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1640336896074 ""} -{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1640336896074 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1640336896090 ""} -{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1640336896121 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1640336896182 ""} -{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1640336896182 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1640336896182 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1640336896198 ""} -{ "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement " "Statistics of I/O pins that need to be placed that use the same VCCIO and VREF, before I/O pin placement" { { "Info" "IFSAC_FSAC_SINGLE_IOC_GROUP_STATISTICS" "99 unused 3.3V 3 96 0 " "Number of I/O pins in group: 99 (unused VREF, 3.3V VCCIO, 3 input, 96 output, 0 bidirectional)" { { "Info" "IFSAC_FSAC_IO_STDS_IN_IOC_GROUP" "3.3-V LVTTL. " "I/O standards used: 3.3-V LVTTL." { } { } 0 176212 "I/O standards used: %1!s!" 0 0 "Design Software" 0 -1 1640336896198 ""} } { } 0 176211 "Number of I/O pins in group: %1!d! (%2!s! VREF, %3!s! VCCIO, %4!d! input, %5!d! output, %6!d! bidirectional)" 0 0 "Design Software" 0 -1 1640336896198 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Fitter" 0 -1 1640336896198 ""} -{ "Info" "IFSAC_FSAC_IO_STATS_BEFORE_AFTER_PLACEMENT" "before " "I/O bank details before I/O pin placement" { { "Info" "IFSAC_FSAC_IO_BANK_PIN_GROUP_STATISTICS" "I/O banks " "Statistics of I/O banks" { { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "1 does not use undetermined 2 24 " "I/O bank number 1 does not use VREF pins and has undetermined VCCIO pins. 2 total pin(s) used -- 24 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1640336896198 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "2 does not use undetermined 0 30 " "I/O bank number 2 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1640336896198 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "3 does not use undetermined 0 30 " "I/O bank number 3 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1640336896198 ""} { "Info" "IFSAC_FSAC_SINGLE_IO_BANK_STATISTICS" "4 does not use undetermined 0 30 " "I/O bank number 4 does not use VREF pins and has undetermined VCCIO pins. 0 total pin(s) used -- 30 pins available" { } { } 0 176213 "I/O bank number %1!s! %2!s! VREF pins and has %3!s! VCCIO pins. %4!d! total pin(s) used -- %5!d! pins available" 0 0 "Design Software" 0 -1 1640336896198 ""} } { } 0 176214 "Statistics of %1!s!" 0 0 "Design Software" 0 -1 1640336896198 ""} } { } 0 176215 "I/O bank details %1!s! I/O pin placement" 0 0 "Fitter" 0 -1 1640336896198 ""} -{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:01 " "Fitter preparation operations ending: elapsed time is 00:00:01" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1640336896267 ""} -{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1640336896272 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1640336896477 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1640336896817 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1640336896817 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1640336899127 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:02 " "Fitter placement operations ending: elapsed time is 00:00:02" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1640336899127 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1640336899172 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "10 " "Router estimated average interconnect usage is 10% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "14 X0_Y0 X8_Y11 " "Router estimated peak interconnect usage is 14% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11" { } { { "loc" "" { Generic "C:/Users/guoyr/Desktop/qwert/" { { 1 { 0 "Router estimated peak interconnect usage is 14% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11"} { { 12 { 0 ""} 0 0 9 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1640336899476 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1640336899476 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1640336899890 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1640336899890 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:01 " "Fitter routing operations ending: elapsed time is 00:00:01" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1640336899890 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.47 " "Total time spent on timing analysis during the Fitter is 0.47 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1640336899915 ""} -{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1640336899931 ""} -{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1640336899978 ""} -{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.fit.smsg " "Generated suppressed messages file C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1640336900065 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5080 " "Peak virtual memory: 5080 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1640336900127 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 24 17:08:20 2021 " "Processing ended: Fri Dec 24 17:08:20 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1640336900127 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1640336900127 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:07 " "Total CPU time (on all processors): 00:00:07" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1640336900127 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1640336900127 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1640336901822 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1640336901822 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 24 17:08:21 2021 " "Processing started: Fri Dec 24 17:08:21 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1640336901822 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1640336901822 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1640336901822 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1640336902150 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1640336902281 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1640336902300 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1640336902543 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 24 17:08:22 2021 " "Processing ended: Fri Dec 24 17:08:22 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1640336902543 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1640336902543 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1640336902543 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1640336902543 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1640336903270 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1640336904213 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1640336904213 ""} { "Info" "IQEXE_START_BANNER_TIME" "Fri Dec 24 17:08:23 2021 " "Processing started: Fri Dec 24 17:08:23 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1640336904213 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1640336904213 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta valveboard_firmware -c valveboard_firmware " "Command: quartus_sta valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1640336904213 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1640336904372 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1640336904551 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1640336904551 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1640336904598 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1640336904598 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1640336904666 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1640336905141 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1640336905260 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1640336905260 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name sys_clk sys_clk " "create_clock -period 1.000 -name sys_clk sys_clk" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1640336905261 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1640336905261 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1640336905265 ""} -{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1640336905290 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1640336905292 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -10.630 " "Worst-case setup slack is -10.630" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905298 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905298 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.630 -2257.699 sys_clk " " -10.630 -2257.699 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905298 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1640336905298 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.389 " "Worst-case hold slack is 1.389" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905307 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905307 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.389 0.000 sys_clk " " 1.389 0.000 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905307 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1640336905307 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1640336905320 ""} -{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1640336905323 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905350 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905350 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 sys_clk " " -2.289 -2.289 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1640336905350 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1640336905350 ""} -{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1640336905378 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1640336905400 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1640336905403 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4663 " "Peak virtual memory: 4663 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1640336905474 ""} { "Info" "IQEXE_END_BANNER_TIME" "Fri Dec 24 17:08:25 2021 " "Processing ended: Fri Dec 24 17:08:25 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1640336905474 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1640336905474 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1640336905474 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1640336905474 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 11 s " "Quartus Prime Full Compilation was successful. 0 errors, 11 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1640336906173 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1653573984153 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573984153 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:24 2022 " "Processing started: Thu May 26 22:06:24 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573984153 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573984153 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573984153 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1653573984403 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1653573984403 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 valveboard_firmware " "Found entity 1: valveboard_firmware" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1653573990653 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573990653 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tb_valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file tb_valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 tb_valveboard_firmware " "Found entity 1: tb_valveboard_firmware" { } { { "tb_valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/tb_valveboard_firmware.v" 2 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1653573990653 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573990653 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "valveboard_firmware " "Elaborating entity \"valveboard_firmware\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1653573990669 ""} +{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 valveboard_firmware.v(90) " "Verilog HDL assignment warning at valveboard_firmware.v(90): truncated value with size 32 to match size of target (5)" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 90 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1653573990684 "|valveboard_firmware"} +{ "Info" "IFTM_FTM_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 290 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 266 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 145 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 93 -1 0 } } } 0 18000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 -1 1653573991184 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "569 " "Implemented 569 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "5 " "Implemented 5 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1653573991278 ""} { "Info" "ICUT_CUT_TM_OPINS" "96 " "Implemented 96 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1653573991278 ""} { "Info" "ICUT_CUT_TM_LCELLS" "468 " "Implemented 468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1653573991278 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1653573991278 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4709 " "Peak virtual memory: 4709 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:31 2022 " "Processing ended: Thu May 26 22:06:31 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573991325 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1653573992481 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573992481 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:32 2022 " "Processing started: Thu May 26 22:06:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573992481 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1653573992481 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1653573992481 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1653573992559 ""} +{ "Info" "0" "" "Project = valveboard_firmware" { } { } 0 0 "Project = valveboard_firmware" 0 0 "Fitter" 0 0 1653573992559 ""} +{ "Info" "0" "" "Revision = valveboard_firmware" { } { } 0 0 "Revision = valveboard_firmware" 0 0 "Fitter" 0 0 1653573992559 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1653573992591 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1653573992591 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "valveboard_firmware EPM1270T144C5 " "Selected device EPM1270T144C5 for design \"valveboard_firmware\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1653573992591 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1653573992622 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1653573992622 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1653573992653 ""} +{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1653573992653 ""} +{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144C5 " "Device EPM570T144C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144I5 " "Device EPM570T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144A5 " "Device EPM570T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144I5 " "Device EPM1270T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144A5 " "Device EPM1270T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1653573992731 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1653573992809 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1653573992809 ""} +{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1653573992809 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1653573992809 ""} +{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 sys_clk " " 1.000 sys_clk" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1653573992809 ""} +{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1653573992825 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1653573992825 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1653573992825 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "sys_clk Global clock in PIN 18 " "Automatically promoted signal \"sys_clk\" to use Global clock in PIN 18" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1653573992841 ""} +{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "rst_n Global clock " "Automatically promoted some destinations of signal \"rst_n\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "negedge_line_sen " "Destination \"negedge_line_sen\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 106 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1653573992841 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "fiter_line_sdata " "Destination \"fiter_line_sdata\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 87 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1653573992841 ""} } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1653573992841 ""} +{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "rst_n " "Pin \"rst_n\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/programdata/intelfpga_lite/20.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/programdata/intelfpga_lite/20.1/quartus/bin64/pin_planner.ppl" { rst_n } } } { "c:/programdata/intelfpga_lite/20.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/programdata/intelfpga_lite/20.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "rst_n" } } } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "C:/Users/miaow/Desktop/firmware/" { { 0 { 0 ""} 0 655 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1653573992841 ""} +{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1653573992841 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1653573992841 ""} +{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1653573992872 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1653573992919 ""} +{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1653573992919 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1653573992919 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1653573992919 ""} +{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573992966 ""} +{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1653573992966 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1653573993059 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573993294 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1653573993294 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1653573994653 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573994653 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1653573994700 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "11 " "Router estimated average interconnect usage is 11% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "13 X0_Y0 X8_Y11 " "Router estimated peak interconnect usage is 13% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11" { } { { "loc" "" { Generic "C:/Users/miaow/Desktop/firmware/" { { 1 { 0 "Router estimated peak interconnect usage is 13% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11"} { { 12 { 0 ""} 0 0 9 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1653573994919 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1653573994919 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1653573995200 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1653573995200 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573995200 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.47 " "Total time spent on timing analysis during the Fitter is 0.47 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1653573995216 ""} +{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573995216 ""} +{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1653573995263 ""} +{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/miaow/Desktop/firmware/output_files/valveboard_firmware.fit.smsg " "Generated suppressed messages file C:/Users/miaow/Desktop/firmware/output_files/valveboard_firmware.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1653573995309 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5910 " "Peak virtual memory: 5910 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:35 2022 " "Processing ended: Thu May 26 22:06:35 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1653573995325 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1653573996325 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573996325 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:36 2022 " "Processing started: Thu May 26 22:06:36 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573996325 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1653573996325 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1653573996325 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1653573996513 ""} +{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1653573996544 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1653573996544 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4671 " "Peak virtual memory: 4671 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:36 2022 " "Processing ended: Thu May 26 22:06:36 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1653573996653 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1653573997231 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1653573997747 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573997747 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:37 2022 " "Processing started: Thu May 26 22:06:37 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573997747 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1653573997747 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta valveboard_firmware -c valveboard_firmware " "Command: quartus_sta valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1653573997747 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1653573997826 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1653573997919 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1653573997919 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573997951 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573997951 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1653573997997 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1653573998310 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1653573998357 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998357 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name sys_clk sys_clk " "create_clock -period 1.000 -name sys_clk sys_clk" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1653573998357 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1653573998357 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1653573998357 ""} +{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1653573998372 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1653573998372 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -10.920 " "Worst-case setup slack is -10.920" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.920 -2224.374 sys_clk " " -10.920 -2224.374 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998372 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.397 " "Worst-case hold slack is 1.397" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.397 0.000 sys_clk " " 1.397 0.000 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""} +{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 sys_clk " " -2.289 -2.289 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""} +{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1653573998403 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1653573998419 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1653573998419 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4687 " "Peak virtual memory: 4687 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:38 2022 " "Processing ended: Thu May 26 22:06:38 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1653573998451 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 10 s " "Quartus Prime Full Compilation was successful. 0 errors, 10 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1653573999091 ""} diff --git a/firmware/db/valveboard_firmware.(0).cnf.cdb b/firmware/db/valveboard_firmware.(0).cnf.cdb deleted file mode 100644 index 9344c79596496b0daf966675d6bbe9b2e175dbda..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 23172 zcmd?Qby!sW_byDM)SyV0D2hmf^iZOLA|W8%ARW>*0|E*Xf`W8PcZbx_-8uA-LkvU5 z&}Z{J-|zK1=e^#)&cEk^Ywx|{Uh7`#^BHE?d(8tZEUY48Y~YB6Wdr=Y0L~hpoSdy3 z>>u*;a`SQXJ$&hEWo!0On4k9{A0H3!%g+m3s=a&o-pb|E!`Eh3E`aZtG5$XxinabZ zVPSCoJ>MR`>im1{fxX=bg6Pk>QhB6a{Ei;0nstxOZJ_rjT`@xOtJdc{Q$_LMJA9 z*5g9VTEiU)A%?o1k8l3TQ~U)U6@P2(1hID6Q12``n){kT8GOT)cqhZ>Ii&Atb6;Cd zkg$~iM|XUsyjVlPNh+NbpCDNDs23Bg8ECGgl)@y*cRpH?I`v>+F;dasGvNaUte4HM zf!|O>#O}PDa~G|xu0h|txq+*;R%kPbjIt}5l5n)Lo4$)P#loP}BPFCo?8VWpkU%OSiIaINn%d+JIoOH?BhZ(7%|v%7*Pxu zDMh5}aMtBC0V7covp#_Qk1@dSaK&ixQiMiCiVO(!zl&Z-Ib9)GD)`LGdoq(MeF~?__@}Ub*FaQci5}hfJ!@|xm`;@9F5gaq5|E_v$z+pcD*`NTUh{zCR zaS=@64=C;ciYI^~2~b4&2M{Mx!YK6Kh$GIp2&siQAQm-AZpIa@?)H6F|A^K9iUxg_ z>*7Ugi>)V=uqYj+`cmk(-QO`|+_r{G;(yjEiDxx2N*1E%f6UrIv|3I=>gtlc>A z@{sa`Dd?oG0zw}D`&3VZl}M3vr{1DfY&Fu|mbR)yr|+4mSK17G#9>B?&&_0zh028C z6?40Y%11ur%l>b1#C+7h2~D`$^}g4VJIi=KoD9$cnMe#z@2!SK2c@|h|@@X!9qoLUIH!ykMOu_fm1-vNHiPu1G zieoU`M=$&oR_ZqkYx-f3_;>;23oO#qFV%_ z9kP`jGT=|IyUqrq+S)7Ei=^qU8ruv zTwHFMMSU{R1grY62-+UX=qrApfI3L*$$Fwu+OP*{s^@weM^(}IyusZNq0eP z^#|0)xBeuy6Og88Jd6hHJ@?@V*QhKZ_er9iQ=Fu!?$e+&jZizoMo4v1525ex9{3&K zcPFnUqk}A0yjIrA$y3Kjzf%W0e=%si1&^uK(&zG526NSCv8OLtF%N=vpq zf+1@^*yw)kmR*@#tkE{Ldj#*_Us=O^hzciwcVSR z#TG*9qSi%98B>3iob0cH_wQfPUeT^Rp$BzBzMqjFvLJCg*&Q#Jnc(s3p(_~9M5%wm z0(#jb#4_CzS4HiABZsWF%?Jw#eQq?7=o%WYQNNaWDB5l2q@@-rF~rx!!{s8A4nnvp z!;HnT9#UJ)^*9uIcUZ`Z#WesDA?W_;o+jt2ws()yJsE_zGLbRL7K@nC#@4yn!V znv3<%_m1yEl5r4RehwcN+aw;dCSW=xuSeP1`;OHKB)=tz`qXmWvg*Wx_2Dpw>wiAZ zdc9b0H{dXUvCV<%HEgp6IYPb*obMj3Cp6b6EY7m+&ELa(-fkTqs|2l>4Z>t`5RuJ6 zwGv%GHWW363J<2_=b*iqNRHtqg2>^)Y;X|TehwOo^!G5_cOkL2)ON#~fd#F9y7$L! zvq^v%i3huT3jtOba(LU1ARVRj#6uD12JeW2m=)cc)&5Iy*1c(`r-+c|Aj!G$u~mQt zAf~!N()AZBc7p^j2z3Wn>p7=__?Rsa0WUiBu6}V@93)d<2=HLDIH|z3;$6rX4kEqT zqAwoOg$FytL45QZiV-*?BZg?=!HB6+&l>P_2OF57P0jAIi{g)O;~N$#kNdWicsCzX z=<*Kn-^CJk)nVjBs!S2HdhXdiIF|YSM>zcp zbZ;F${fioTLd6_}ex=9t^7uyZNUXBu@(BBn`n4;Bi0y0oMNHT8WTA1^llsu{PX+t3 z9Zz`}Cl)YHqYZ}2dRH1VR%&w>)>TDF_xF?wiT+K&3x=3rcpzJ5>q zrSP8ZKn-i`o#8n)ZRMaMyt?0Gw&3TTw#y@Vu`~tjJ~ld}#316BP!0D3jkb4qrF~m{ zm7s>CwWm2`wvd~nf>b(wE?-Z~5wFDs)0$sz#tYmX{&d$W47#^j+ zm#1j$BSe|oTa4?J)EGK56E0w6Ge~YX&t7@VbJuR3Dy`^8>etyL(SF%1KC1c2z6Ujo z>ge~U38?G>*Y7t>t+dH>Oz{kiVm=2|d1Ja>C4a_NO2X-0O>Z$7(S~ZUWUkla4c%C@ z9D?F* zDjuoN?Q8cR`^;E3>~gmpoZgMO$tSmlI|tI$?I|aNKas>*CYjUK*K)6kTuNxpAv$@Y z>_5iQH;xgCbamlw3?3SvFMLe{$!o0mNu9+* z5(oQ(9Ebb#Lhu-D>Rzy4;+UTQ7(arwX1miiMcXBuPx8NyMamoAkNkn^y+>O7#hn4$ zxK3^5-fFP0ODKq(b2ukN{PHT5_o|^5RzVV^pq9ZyOt$W|&p>A3HL63F%CYl#rDjXG zQIW%eyKQN7e51g>t(FWN)E2K)8Pi4`BAyigv+DQKXR9|Sf-OsWh~&1V_cz*oOB@}H zgZmBK6)D$=iG) zn{CrP@nkurDGM!F{`6Njfn4HsQ0~BT@dbKz^Hpxf-_7?DP_xm|I`gN?X6--MwfM!~ z{5F4rkhOTM$XJQ<+nXM@AN(`)#WRU(Rcf`KYs2j5E>*RQ5b=29>pH84MK<)ZVzp`s z0T2fdHUVd{!JfvvD4+-N#+_M?$Wd}Og^J`|;+<6`bGJ58PpRpMxj2q(v+y&H>>#T~ z7Nr>EN5WR|`1p~}N|SHvRpxp~1h|>_Vgp6A6BJy(x$_HzE+vJbOvrmUI+FW&eMgRX zi-g;IMlUy^OUeEHdLnE*SxN>RJ7$4rGPFRj_d~ZB-K8XOs#xvB-$&TLiVTk<48&=X z2==y~QSc`2Ei6=oEma|T?gNnRPzQjSn<1DNdirg@J0ECmt-_X-%;S6uNZ1q(OPTNN znwc+jh}H_bmV07HaZ`E>ec+}+UVH-h?Oz!I6(4dB_FSm34N*n_9N-F{onl|CcuO`! z#d}h(uf~k`5a04fZ~)1}c4b?VArIHXc9|HT%4ln`-?riAU(2^WC3B>{9_tEHRK&CB zZxk)E{@)ZT|4DI}7p1$|T`|41d3-Jo!zDQ^`MqRj)p`2sA7E0{P?plpGoTH$!s&4e zkFzKAfpkG_Kx5q})cZ*gm4y99 z8layIq0R@YTdP&K28ZZ>=$c|DzmNSeJiV1f0XrM-DmvnQCh{+S3V}V^_vod5~&c{ zbsm3iQ)JzyI0y&S!V@n)2vR|Z7Y(*%qFcGmzu#2JgnU#i1=277mtfKaU@GoW}Ho+B9;gl z-aU?ZO0(=c;z6kJczOR8rMYsxeHOZ08w(#dy>28d-ra-?p^ed9)*D##g%K z+0f!HAb2LpNTFjsC?vx|z0Wk`layk{=jK#*Zbh~IdW4OZ6o<;fD(=#{O=2j_K;Z~X zaaba>a5%bgW(H_TtoshZ?)MJa=yyG>RDP_N#2+hZYWJR*@#{6r@S4uwml$F=qj6|h z&ni2~qf6P%$JzYl z5E6`d*F#kugf{a^7`e5b9&FO3tTRo0^z9c2FdsZ*T#^_%deMdJ#k9E_=MkdpgXy6w zUQe0&*o2DzgTL+t&XW8*ETBK|`EGG6GSfFV5LIj8Fp2isX`x6$<+RqPY}y3A``q|K z_v*2r-jD>i&<8FMKsNRo@eXc(g#w`Np`RXn)Hrt@? zkID+(W-eGdk8dru2&7IzY2L=O^J&0?D+o+W8MGaD5!I*A8h$P|B;N7bS>9&m0K%!C zD35=Jx*Njqo5=TPJJOIk65~_Sl8*HURV=AKx?}XT`LXe%o=uH-IIN2weHwElWt{elc|_%Rv)R!ZARz z6QH^NFU`t-A-ZkH)S-1L;;MtSJ}eEW_yuH;0??4eD`!DHDYdENevH5K)jJQkK;wYi zZKo2i4h8jm3rkV)`}phUReQx2UWv@l0gARKJd^)Zm`B4i_Xv(a&AnKO%1Gt!W50AG z^9&4b`w93>{I|xQm9EE+d|N#WPfM|=quY_|fp9k&D}8W%r#79M zzjTKB25eDo)}d4UWAND6rSx4so&mEPFVZ1H&TUU~TNY2B=eg_g;m8YM`|giC^Q{P6 zw;=LPq1BO3NhRL$%+5HIzMB$@Eb}c0T#ukU2D>Ne9bCRt84_R|aDL)pkkEPU@}Iq0 z`4il9fBf*h+#&2fm}kJ`Mu~K&h7#Dsw+5t7T-MNpBM_OqzVFwIe9TDO8VrVt9nuR zIy1_x^}nVdWax5#>kMx)Y+Hd7dneJVUb2i?>%ap+qvu{q5lum69KoI+}OE9BB=Dw^#k*?bS5h!kdH5d@Go&UA@0puA_*HltGoAlunxtYCwL+W2hPd{Rx$Ex`853jmnM-{c3@01N*QpcVj_ ze@ta@*;){$mM9nn{n+HT=4$KHt|#x*F;`XVo2gEpX=Ml%}u|jE;AicG&9ysE^6E**9O~rMR=_rl{=)rxVFfq36}! zsq_`qN%V&mB^E-`re5z2)3rDnoAO?|&+`i%36+r^SGUblT+I38*%?l`{oNOX6j40dQQ11#VV2HY0s|^g!0ACs9;?&RG@O#-;~+9 z7`o|)c&M7P&lq)v*3b8Sf3nIycxD_dT6DQMsAv`TsHgVSt}AKhL-tc&Q+=lzZ(7kt zMr#fvt5)uTo1^d(vAor6_RR*4p{m5R@%jg4R z@i4*a08+pOesJ5>M{6!&PilrtIBT!&n*!vPJ>ISnT)#UjW$dJzZ3zTiY&^GD=Kjxi z0OTASGM#XJvmiisf1r(I0hcQ9iKBg!?P*f@*`obv9LT;&{)`+brvl*7)UFkB7$oAW zQtQ{GDrp;3cIsBja^kq7!*n51W0;u~4wRz}@Gvyt?AQm`=FK%5vNKn=X_<;okza_o zb45Ut{-0~*lqmEY(SrDdCdYqQJd{zP0x_k6d*|Hizl#RED(c7Kkxl<~x!n{Ip@)A9 zzuc}NQu_a94dq1{|7YE-ulH5^t=zX`lE=yzO~hB@b!|^)>KG4LX2_kzkd-0Wmp}fw z5iQ$KC{8@bx37L6cq&ORbMfNBtvdSzSk0u+8NNSA555?kZv0uaSh>jL&tT}&reM?3+{M71J9)Pfn9!959BcOTou22y+8a$=b^AXTtLpvNmj zi%(J8tfl_u=gU!DH`+d0RKR}VLmU#qP_D;gcHzxYOE1-;5_g9`E@8yTOV%Z!2T}*ECP#0-6SG3{J8(SxDkada+(re z&8F-#Bid6~V=15_$=4};_KF5~jlz)Rx3UYLiey;_prFE~gnx}3>9djSF~$$O82sY6 zD;U3OOG0yMp!Qa&hC@V%MkpvzxPO8EkJ1CI2|S$x;2j+D}Jt?O{Bfcv&P_u{pXr zt*H3f$KL-d_vn*aEX>3zQN8tVJn$86{A)2w|rW?B}^D$@mo%guW;x(31%9f9VU+61# zrd=|f4{nU_b+6{uL7;upC;!~~eaXf-Y=aiRYVa}&HJDFDO#O}RWL=*SGEqqQJN6l+ z@Vi(AKNOvvBt4%fZD~>Q3s3E= zO%tDkR&qfd!tEh}!U>iEY0W@@<`#=AumWhiRU+96hlpAt)33dqjr z?TrPNge3`uY3rKir1+=KVT2^(kGH2WByZx$^>%wVB43B2O}H;Jb4Z~SusVei}gSHsTnuST1l^)XDUt*0w>qS)l}AFvbU%FyS7 zeGAtD8s9)Qo*Q#UW3ran^ITEOb0g$6Cffe9A&x;7 z*3UYmV51ByX;Of+%bxDsXa`H-R*ibh{D!x6`mlX%92sW0-`PZRB7Dm6}p zbm@>!GP>`}ID=cCJ!R17`Pj7)SzXHal)85QHCZ}X~$9T{bykY+6`1=9DS+0UZ3`LpK=3twDZq9C2(4D+rqT5kaBqmF7eqEBUaQL^jQMZFL(U!8- zfLfEw-c!e`bS06HziGDj^Bd9M(_6HMA$)_6YApB}d_RTx{bk%v;vcdl$g4YkRC^#r zPft1ggrohNOOFxJMkFQTWt|x>zDp00J&Qhn98R6t>*}A}wC}O?%W-t&V@a~VaBDx| z%2Xgwla4JkqZ<7dl-D#hqByP29hvo$8~mP3zuaG2o|-A!M?b9Pb7LK=u&CWN`Q3S& zPxJPl+*s$nHBB`B`aJ%V9!EsFoZmt>V+Q8cy^7VdrH1{pu=D{|&-0hqKRKg`zwC*| zwUt2*R%jUgRj5LP9=j6NxW`w__}<#^e{Bal~&Qw!`=) z+qEc671fz;=U7;P(H4BP-|ZyJ_hV@bSq6^(K+BjcLGAxW5u;zm{4?#+TS7RynJ{*^ z3Nvps#}>|eJ%3Q6e3WR|M1DnerD5)?qtWm>wa_4kvPv1gE%f)DIu921QVP515a-eJ}`%WG*_<&f7IOYrfWH_9|kI}&2ARc`PSXhIeE=!w+rJ95_reVDb_ zv!h4NCnHXTK$Y%M_6I5fVb>*rEm~aWN8BJmVdg`N>vM6dlUW%-@26?zO| z-lz;L(5h4z66a6vd`W;x=9=#kscAJZa`PqPgY>O2C;Y zfBG7*cBLgWvH_uJ)GJN^EH{89&7J1Ko7nDRcq}E++`3N!@8_qw@ zcqaKCRRvkk<*uy<%wTM#cW)3fg5s}0xdPZIT46~=oRt^l7eoo2T3f%?F0XuPMYM_t zLzydYU{A}o5QJ4*pQE&ncl~vaTW)27s{EX{h3CRDMd!Er5`UeESyqj!zBG-iYrvig z_{#(OA;AB!qRE65sr5%gK&}A%wSaR(fX)O0@XtuoV6?kmAW1)-Z+h!SVm-lZd%xgX zMx}rtp*_Eec|89H2IP4U$ccbV4ak20SzM-w&gy=Fk67+U9^v4Rz8tw9Z}A8K&jFkb zLDmzmfHZ0{MG3dztW^rKZ^PXm&sP=%+}U$Kj@`PsXu0|gCT<9D7gum$1bdE_<9eb} znG!@rJ-smP&yul3=2_odc?Nv|ZTt?6{UD%dGM-9oHGVS%Xs3U^N#5rrf`{c9_7C`k z{eE=zIzml*7iSz8Ei8)~(=9fq7n8VtUcRDDnC-59y@af;dYoBQF<^)CmYq45609jg zv)D<@&Q-(MGK+4UooDN$GK*e7Fm6) z&ti9l0NmzT>>7swv;biKQ)_2OY=!Co%<(^~uh)>(uA1_?JU|WyKy7Gaud6FN<5gR0 z2epycy?Fx!1yGtm5aFQ8V+Y}yA}$uYWCwfQR5B|R!p>gT{cGj1wezgCR3dH-*n^q* zIv$App?l?;7@!Xa=r;iv6hL5duG;4bKwr*z_I%iwgX!0*>ziXQKzJQgwBU+KeNj^c zVyO12LH+2m;b6k$_v!WAI(C1VaON8*a3~utuHHEBE0AO`6f}-9{5pmrL=6)UZMFD+ zZf-RwDyLB@VZM_GZz9Wqp9YQFuqPSZim0U{FYZi(KHy|1-*_!6(pdL$tLnZ|2`*V9 zlTKT79jblk+ZgJC!E5>$X5VQ4ZLBZhllX3R&9O;wlC@H9Mn2EfCCV%5i``<)XiZn3 zVPYmn-P0iDVSGJA1ADQC-b36?cb8o?{PI|As|>aeMlVQjra@UA^mb|q3{qz^4(BCyn{w`J7*nk&b zL=QhrD4T9qoS!EO1-Sg_-M2oFF{+PBHk|7O-5lpVzCq z7sJ`y{u&L zIVB9m$Vw52Hr~889VGJILVvmJNBP7>_iBhr@E2$GMLo}f#=s>FYuV;gnE{bOn$rOxR^S8*c!p@ zfL8(O;_TzSc{?lwx1U21HiQcY;f0Ht+>~e8`pSXOwItLWf}r|<*{1?*h&w(^f^<=r z5;H^J93*fT4`ti5L$J5>{!tfv3`<5$s8%%MCvsJo4yCLKsvWw18n> zcV(5jqQoX&(_8*p78`09SshyuD9Y)nd6}dW@#yeP)UHYbh#Qtgu*XP+p`vew1J&DN z8J0A=XJFqBY@tXOQ52YB!7n9-i@KIr2w+~z8uxaP>WpBIln9~;wDZxPzC9KquGu{g z8$yAD=pfhw#;d65n%&q3erV!w!LI4EQF_@LpC)HIY$ix8NClUM#M)+$9LMHpX@d2ODT8|x8bOCEwN6qHuZd*crk zy?rhON-W?S8=haPhmd1lQzyn`W-}HC^Xbs+q#ofz`2ob?0T&U30|!ybhA3|if+j)L zQXqS@>qEfcUTYLEi$`eae6o2s<~h4t+-xy~81SIouXIpctXLm=ib!Ka$olOjEGk2< zB}$~2??Q-i5PZrPdk+Y?B}<4PS1AyWUg9obcyAL$tarU#w%T7;|L#Hr#u1Z5%Ol5m z(Jy9caOmn_XWG^vgp}`CZ@K^fL?Rp5$Ggil4JAcj|M*kq)TlPj`=u)As@jlL3PGML(s!-BzclALdD6)XOS^2Z~ z(>o{H=He&ryO}7qrUx3z{o?j~UZcfkJ!93w6miK%M4Lmo7DpEM!;W&~VO^N8GbU7I zZ)TiaUAnEr)AgOu3HLH(_|GYa(M5Lsm+h*LFM}PmHXw%FyaxOciGh3C71I_G$GP7^xM}4VFm5p$Muku^INYV>8RmQOw6j%2`GfAzxgDXor9=kW*p$86JIwRln3>}# zBOhYkw5F)*gGmvwZmdZ%2sNa&Zkin7nj$_(eerjyYjZnSQZ6%MpuP6}UsHiH--xUF zL6Or2S+pxW%Qkgzz+*dI!@g5;kWs;riLhw{90JuV0{2=+=&1SXcjcNgQ(uTMJ}!$G zOW70Wp}RQMakaWQ-W6vzvlm)SQs-HklpM-ho{%u_J~5UWoX1hqch5axK@4`7p)S;0>!zzC4t&p92Pp~J8W^VkGJSH~P_utU#09#q?JF@W zQ(YBV?1?UDx?(DU{upYqOdZ^O({5oa1${3VS^+s0h*GoJa?n10Qf+7}#puq0bo_JC zM3c%VX$r~bt3AP8Fuh4@SSr>&jwmsOI(DfhNnsfKLaz+ne4lr=Ak(cUC!JOKZcMH> zX&m8BRd7ayY02$~LtXs}lbaxqW{$~NEkpI_#o`EcZ&PUSF~zT+r?eDhu=ex&CV8b9O=qpnG`@kblkEO8kfG;_4))1YH)&9D8a833i0}#akwJ$Yt9AHs zFb_u*vDH`1gdhT^u3p#0T-68*Wv-t*LiC?;rARde8N^*YuiX}AqOzi&!JcK&%%i!G zCj@s90}lkR>S?BSW`eFC@YtuaQhTL#I&VHgkDb@A(v_9Oa35C&oNb?+W?mB(Tf0|Q z>Xd>~?LXkU-*k2co-x?e+bQ;kvSu7t@|7{hDSVxd!i{3`O$H;TvvN8cWa6NHeAIWG zAMzq8TN#MMJb&@#;F_ke^-nj)Rebf7{e#VMeP2CAc#(>&;QKU(bjD1?VWP@$kNx;~?jhBU`bAs86f}pN#wmbWHjWy{ zREZq9)*Kh`)pMdXiNDjHrXYSuth(zLa+fCFbgasuM7&<2{%!k9GK66C=QwuCydwcquh_eLGmg0+u$I-up#}wh;9UX zer#sgZ%?A;?E>?a+=-(|iHpVWXIJi5z(H2BAzx!VcTybsfAQ?3a8_zgI&KH^7^MJ5 zHlviob})xg%4vHNCGR?zZ|F`OHJHx=uRk;;ZVU&RMHh#3JZbCv`;oD$KfGc^*n)3o ztgC&%x#t8k#*}C;!QJ3GXT7u1+4|Tl)uhWiD?MV&gcB0IUD4I!WgFs7F~&d)(uuVkc!Ivk8zOcZ003jYFC4&9~*Idn=n!F zYJ&MdcjIcneD(PKAC>!+un&cd6RNPzg6RAYrP2O#`awy>6d%ZbG1$iNy+a*oWd_!Khrylc_h%x?`q^A&#W_JXa}uS?UB$Yt}mfb5pUxLg9^7LfM|^ z7VgNlD#E>j(I@q=MFKm4E^TC)tQfv(e3m9p<3pS9ij$w@wqq;o&df()7x_@Ct8(ZH z{#i@Mr9`ily&=f;3sTbAr}BN*sP3kq98Z0q9-E|q16qtvX6ORt*!{N3;;YL@mE4-Q z#*ofNoxwPhZH;SusSBUYP%C`%5*t0VT0Y}E5Gk*NUJWc8u7rk$)qQ~n)$wMlWmXS z%!!0Q`YMJy8?E4v?&h(cVa*p?V}x)(AT9`{!c7s$led0 zh$?V@MF+erLOrmRqmM^h%)p*c`7A^V*vWA^R;O01(%D_Gw79K4wYXqxIjM+AvJ$tx zVV=5UFQo!o6X zVZUX5N?g5L;lgL<`)o?m9AKVdR~uKeMrQ}SUY9#v>$&LP&oTqW={RMhyR8P@bOA`j zo$B3kTV6YdKl_(VGZELbDayfrTuIJG7x|+b{n6C; zvlpDT4b=hELq@`Dnb**nQbs$=8TivF_o>k_+gZ<+YMyGZL{Tps6CyMR2l z&Q}&zl7ZFbrlpD1@zChJb(~d8w!hn+(Oed&@_?@oah23!Gwo{nNh?GR2%)iKYhvgX zm$EexeWRefzE4K{b=%Y~wd@-veG>(J4QYK$GOL#J%{Uk^5%=6{o9akI)V^}7fi0N2URx^4r1k3|Bw*fvdea3cmO zF)_1*m*{HH>5k28O$O9_R;U7cq~)K0{<5JaWW=RQu<5bV?eP4}mcy=+DoIEJdT<0x z>rd_3MCAl^0{@q7lpGEBJ`Z+@YAZ$Oy=tJ7^=00z*~mI%P8GhdtxbS7?v*A}wyU6q zSa=;_`iJ+UN_?!Hj*fD#Teaz)C3y$hS3e3UBW0{5emI?U9iMea_^ogF@7yQO@gDDK z@jn*j_6V5lk3SaJZ4p>(aUKZB846erBvdXT97-n~G6to50;Sl4QgoTXj7;Df36B%+ zy}9GXmY#tIQFlZ@&OpGrAE9yq;ZPl+awy>tS$?}G^y+)Bp+S5y6Bs1n5d*%Ql*89i zuB#B^hGPUl^KRal#S}u&d20iDZ08R>0g?E(z0_-BL z8EJ1J;gZPpw&swjPBA)j_6cad^W7*qB*;GC$)?+cY>g>!8UQ|`M7q>3{n2%JTgT2B|$--D)7rzqA5CM{lS5Q^$u}{V7BxS1{;y0cGx98|56ii^WVw117!p z)Eelp!}?n7PKz_=fqFV(W67M4%!EP3F4R$F+RgFvM1~>FelB4w*yHmYzk`(fLcn?< z;Sen-g_sFU!35R>dk}y(b%;I61C6BsVxA;#`@FsPsb9A^T~tZ4a5vq)0Pw}Zi3 zk0u)Uww%H3a@n!=X}txrvc0Jd@Vok~v>S4<=Cs6i52*C|2$&j5w-v8@`Tv@SzkBJl z&kMWes5C&$Xl(q3r##D;;XmiZn&_7I0jMY4GySmLNnZzFG! zg0l|Ziq>`kb#-qW*cM&h^%}8DOC+9zBmV3-*j~Bf|MAs~5@I8k7%bpdI$(<`=9-sx z_}M7UGdwgbsgm-Cnu;s*BDkxQO*ujdq!_OJgDjaY`4OwFAoat6D5U8)HZG}rH5Ec; zHXOw4`{@O~*X@@VXh)7G zj$`!W-XxB3(J$TRk0r5sUWycxuB~;9ST-@G_V*`Mu?i2rR=v`K;~43)7E-p>F<_yH zjEnA1o?&Sbe{~HiHODcUe^}`KRq#HRO>pVtodO=LNv>8ed95J8pip*_qZMam{3oKP z^&_F?oYccYxlDL*lGmT_V>g+ten-%w^xxd*0_U*4SGAAJ#jV3fsf{DwSV>OJ02pxrFz(T zlouQb=_pdUNL5RXP(syI_bPTPe=*mhB zPUZ8bJh$noYM}ylskvIAGj=I=rWWQ~SGv$(j#dD6sePuF9F9>@rq%_nk%WAi8(y>Z zg<2R33@laP=JYAhSkju~n!6pOL()WOfr2d`(# zlFd_Sl(-0+>E4%d6ExTTH2Hzotn;o+3<#a0g?hoxy>&r$j{gDU!;Up6AL7cwrjaUW z*8bHqy!0rp9dh#!-BybFarx*lstmNS-=7DHV3ImJ*f^?)c4(pE98XKkcnrDbv@4Z+ zBW(FIJchY{TIainbBnyKsNLBKmR5vnVbJ*Y>kRs)Mk0D@wZcb)IGw76wOCrQs)e&h zDl}7CB?jnmb7bL?XQbrxK=of)3WbwdC}y`__y-d z67rHu<^Hfz!pgPx_aY~-W|mp9SirUXX6TAwB(P2vy9(!3IlZ&>nI`w6{}w53)k~E) zIN@h;0$r$aTFjk%DU$I=GK|Eyu2E?Otv>O9f))a$i~R>jNy6j=H+h2h>gHtn>l#hIL9t7>7z33!{D;gA4~ zjYqiTR2>=3(z8Yk@0JxIpvKx_l360x!^)j+dd+qSFu(VJtQmiJi&JK4Lj@}rh4(E$ z7CUW+;z2km{+Ah9O~s{QK<(piO^Q45QYu|cT9ayRd@F*jD^PuBi6`ARo@o*KZQ)m} z=2&`|D`#hx@zfCxRBB+(tY!y04c}TAHkM%idk6b-_ZsGbL@#FbD2D9Q#u27q9}@&zoh>T@$4UfxJj2BG8zx)*xUml9=H*Jw zcA=IxP4_ab@Q~Q_ylhr_F!$v_k&zc9(O@KE543!qM6Cv?sf*WjN-p z9WTwy$Cqudy^J|KvV39#{wR{q3>aC;-9anyR=U0Pen4W!_6Pl^pKOfi)N0C8gl2~S z4=I6c{X5Hwmf=B)nKdIzimz@0!a6mso!g%Il({Ss7p`9mTPTGhP*t&iKXfp!hi(XW z!G0G;uN(T%D(AkXw&g3)9Ysww_B}@qjOJ74I(X}G5gGjbWH>`uZ%#>QJ@nishIhN- zo;+2Y8;4@k$9K-E57c>4CHHk4c;|s0 z81l|f-a#9SnQ_{0+WTt}1qQb4cNke(+_B@l?GB18Fz~Lq0&ivViwXTKPp&O`Z0fKD z*{?#(BzFG8=Kg>5A5|_GS@wOMq$06P9^T1{x>+t7RwA@0CX}UrSn2#vqn;26wbPTv zZiUsH=47zne@CU7;}qC_`~csQYjU4eOnRg4+Vm^ThRN=R9IDs`9(2zijj+oOa4f67 znydC|j+a_UCQoHk<@;{;6Sf$EhWK8C%n z(5Rg_EHIgmDfWX`in+N4PrQ_wG2vy@YLD}%>$Ez?zvtca{$X~9_CjyR#cEs7q~xAA z>3ZHx937vaiFNz9yovNpFmAp`T1e2B$n`YAvwP1`7;UGJS+IZbmFpm}p2~@_1f)~d z^n{Uoi~9Qj_|+8{Iw^6o0y!0Z^&{}kv41FNj5riE`lEOz)_$sJoU^!5wk!6~fRduO zsCinU#QErvpb!%r*c*wIU8kEmIk-S!o8NCvBPUPp`Fg0c5$ixl#HQOBURB`Ywc*tN zeUN+SUdZL9$C0^<_}N-;cmZv}-CrVNH%KeSdNgXrCfU_l-=c(XiPK0jjhbp+0^Xik zm&Q77xN(yx%GL;Lf zPP2oi74o)|g0|z;-CAVb9!Bf$|CIRMTkP4rqx8wq1{Ay=vhGT^{}hd4@fMGs-(|-}}MtHB@bKZaE+D9$6+}X`EB}w9uys!>}p;dZXFC-C3(Xd5{t3iptl5Q zK-2r3)PPk<0fg5A^4j5@hJb$i(}grAOQWrj&?0``jk&3Q1PF*HZc*PR2MFVU7qZJh zZ1X8CB49fwFxhnx9BXFugA~v?)z4dt{%Q?b@FgY(7|skL5=?D3OZ4#U3*$g$oB0s1 z=fe=9B=RbC5vX@Fge*kyC~m7|nBj*Fj0(;rqz{0%|K1S_u-K(WXtsuJj z+H^C#y8iT2t!~-sFKaB0t~8;dJcSa%bd13WpIS$<_4q~mK8p?|P;tCS*Ha$*E5F}7 z0o%EK<9$kvGRnwqi|M``o$QA~xl}~;kr!bi&pANvA%FJx&=>T3qgAHgWK4@E&m`$y z36QW|%VFeDmK&H)kc6<-@lbKExRnd)hOPxVUkm1|=~L0&Gv6KVLCmzYnT?*yJ=2}*%s-edaf1=ySH-wcx*w7x*WZ2wWbt=zFIc?Nv#b| ze78C6WY#Sc*mo|^UH5$5l(%{qXTP33aZQ<_U+-WY9i-zVr1N(jjSp!2-16z#<2Bvg z>CH)RtB^KSo)uadqUZIl*8OdQk-lqD!L;}5ct527ha#pWZw(G^d*rhl?w!LAUcLzi zeHB<7$c_AXnxxk;PHNKmA^?tg+E!%=?9TAL$d>h0iQl3kLvJ^(=MhWjz{}is{L)NR zfykilm6)fIcD*ilOVp|ohI3QIFDRu5jYLy{7* zMhsPo(fvc;s*6|>2JfS)7Z${uGD&=#O2C2QHmEze~%g1^EDt2DL6UkNvp@bBnVdF{OKv|%yK`BftW{gV(9(f^P+=<@Pk z#=Sak>xWA_n?CIm6P*4k)v2nVd>ElGOc73;dhx(OiC1h6Kw^2!9jEbD@&98iPUdn> z-t_~qC3DIq7;b~w0B<5K2vuN3&epGD$tEXD`R>GCol{#P=^}7mF-ZKP#bA*jj<6IT zmfF)0KtUv`x(NJwXjvnz6$!k}W^+rQIvJSBT*dZH)78A3@Q00Kb2tQEPL!894sw>6 zz2Ux$QAtlF5ZafXVE#0%)FG#eBK=<;cnAxi_>ue$+1z%503&8wV<;?#DZF&+|&3@&Mk<(Fs^4wBW6>JpZ%gM}=rR z!)Pq!eDPHF*K{cRrh2=^ZOa+xwT^H0e0`okI>4dPAiei8&Yv*dP4Tv(4rBFJ^?KK~ z1}ru@#=4MhXVj3CCKK%#_H44h>p@RjPkf*@kzDKySXJ$F z<&^uZSBZ7ZdhX6wNd1D9d778G=q!Ki4>rL&dZ_!UH_%knF@@rr>JA?vZP5qWHg@`n z`>P2$8N_yBNgLAf`T;hNzSdb-t6*57aCIcPTAdhI@(_cUWEOV|7OS zi(tJmi7Pl5*{AGj5)*a$-C=l|j?u7}{@v*OGMbx5)Q)q8+qOAkPoj{J`?-pEW6m8> znc-6PEn1)lg!!z&5tcsZlNrj6c9oAq(-T^i?G2ViZuUWzo3_FjQS=e<2Ij$-=X-uPousTtv36E~A z!i(iCosD(F9*9R~EPCTOb5VhUD1xp>#AHiVe?Zy(Dl6)W3|WqatQc3cX6@B5A*geJd;8DG=J@0ie)@4s&Y@fs#eU)D2FRJ;(bV z=t;oqH}(TV53k4`a$%k0>RPGW?5J;bf@GA7O-Z=tyO}uw=-6~Fzp6EmhAosKi?NWI za8~wvRI{7Ftv(4x#Fw-*DQUx%1hAS0+H1G}^^~0@QYJ2m)jGWKygQ)g3caS?Q8Un_qyhI6001!mWF>7tvIF;5e3iA~t{mj}pOHU0*JX22+=8e> z@tPj0!T*$*cKG3&2s&`tt)+Vhr-{Nhx=;U84DawGHu=4t@dcq^|2lX|%vyR;x8ufy z-v9)f6A>O?QPEsj;W$?*Ed40#VDmpfi@=x}`BOEsas>+xm)+Z>7Nw%xpL^JKTAiIS zW&ziBz7kv%nDHI(o2t?-&>VPaEE3l^^4ZN`t*82hD+ZC_vOL#&Z(nTj1Z(yG=OyW2 zuuN)EyNH>LURm8qfV$UsDhZW9rwtcYeZ~0vBV8qeppM|@9=2+y-_5+m($nf zI$&&*v!tft6dPr~Lb*RKti#ponYi@pck8-q170|#dH@@#44^+sK{`zOf?ww3ci9u| z(-RMvx>$|6APjTyQga^kh*91OgP*DEtz#0;)7M+w&dI|dAV0Q5;M0<+3z43v%G9-) zo`}IVQRY&m=6-}Cn|L>~LXmyEn_;0y952G)XZ>;%(&lIVR1{zbrUFLbwddB`Cw8FR zKRd7lX;;j4;*fc=CMv0cESS1d(i1yR9J0B%6Nju;`otj{=aXk)?ouq69s4u)CKRc6 zfuKuiDPd2%km78$>_y51iB+}v5KQ&klXpRaIe6OMdsn))eT?oid`C!+**EoYPMPaco&^Dp08 zDh6}g6$PYmsSz=?^j}PHXPY=3S8%- zA5XG*zv3ucx`sm^m@{cNN~?87^jXCDI#{w@wX16 z9#8GbH-cvMu^wUEAWz^-`#CiCv`YKR7XqYN>4fnVX$G$39>vY5>SQR2>?9>NFgPj& z5h^uFf?W+>f~y@=9=(@s*q$_O>P${^jJ%Q)ck9 z$oyF*0!P)^q_?Mq8BjU17Kk#nSL^ecRjVAIWj>1ltJP zwKHN~aFt7T{BZk@;NauMP#Q7k6Rn)a{>@6<@2z$Wx)CI>yQo7w8JYGhb@U(G7~dYGy5_Gj3pt z*t|!dNn>TpvtiJfbn6}zQ^}H-GVR(4O$?WD^wa1%;;WDnmE;o2ilH&s2|oatT%He!^=-4&oClvjgRprA z7COTl8J3D0fk^P&#?~qqS=N`O^ z5@XuR!Qkk>U#{ZH8|}K?Y^(c9&RpH+lC3d4MC*BVtaUH%muTa}Ix~GMtvmDiuBU%r z%Gz4i-ERGPg>SBm&urIA%#9E<))N}glN$idQD$>Eh~V4w(CFIdLr93u#qe!vYjl0$ zLqJ96NPL^Gq;mJa#q%`Ber{>4`q)$JmewkIbB~##uqE?p*|N%Ec;T7@b#MN>!{1Q_ znkZmtkc04F;h2- z)YJxq)%ZcH-MCwmO^A_jSZg@T=GXci4u9%(f9h5s_1W$Oc&Z685T4f-4#S00Vp+K7 zz96SF2f|@P|2#4@3m(dy9w@9<2k{?P^Y=wk)wU)y$7W*EbbP3ZReyJRBE51ZTDEws zgtxEb^g@E8pwkg}|HGSoDEvU>t9zXT)h~2!GcdEqj<$F1xnWDW*^Rqbyc$p;;+&cx zy_ub4)BLRJj_4;_Sz{yTA+U$ zu0|rD@oo*1b(YV>bij&pK$_TwL)=1EXp+=>9@<~+AREyGmiFNKkVI5C;l_u?9#obJ zW?Xvzj@1^)B9#)|4X zW9>pz_2L9)C2x?ry;YlZ(WBAE92XXqda22K-Kq2WWP`M_?kXWNsAgcD76Wy;M!eCz zCE#A6AJew*n%8&?d$an+2QwZ^&zyuLrM7-$h3PfjCxqI}&0mzXekokO;t%}y7?<(s zYO6N24acyniANHuEZV}4l}SMt4^#!Jb&RzypT&BgsR z&|);YSFc}(=(rTN5|?@yw4!ykZ~|OSs|aq}<+)WiKXgZ>h?jn6@{VS`D@3?Wb+wMZ zWVmX17cs-z#RxKL!Liwh%-6rp=;lXZg0?1C8O-z9Xr zZcOgNeNePk=2CHRW#=(B9o_MDm|--^xjNfl=BI zpT+S@*@73PvVTYI)CxYA%VwL@mUUoFdRu48s;9E>>?&PDh=K^cf4N;I&rp03H=EWe zC#GXr_q=0eP94RylppXf$$VGO^P}f3lzGQKDqGKt5n*srx^KS9`?OkS!-;#WdMEHD zTRZMSQ1bV~Fj`ZpxFO+@%iBjh=Yk{n3~y#QYNW4_Y?LTGL0WtFZb!47K0p5#_JVhU z#4N;FyYNEH{kg+9YM;o?zMReRAt(+kZ+w>}vqz^cy#7~QtdU(F)x}x$7awCu(6LV+ z>++q!hQ9px6}5A9t%ZGCJ!2Sqg_)IZ+~fyS{21KEKkZg|tBrPx;iAJJeovT2+vFmZ{08byWkSNsfN+m$ubHz*0gmaq>*AEd9KZN_a)<@ZUP|NtHKHGg$O4H zJy#v&F$-M?eRgMDyG1dt>fy0;%^~T_L+g+|?V~@U_-0c_TVIu1iX-wVPdH3uZ-o_O f=#16{605>Ya})#!ym!Et*`k}hL;&#g@$r8F+YbOh diff --git a/firmware/db/valveboard_firmware.(0).cnf.hdb b/firmware/db/valveboard_firmware.(0).cnf.hdb deleted file mode 100644 index 5da66997edebec1f4ac3b409da3a58edd113d44b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5253 zcmaixWmFVgx5t5bhHmK`(gCEqW9X6;q;s61L`p)syFnd98k9zA25EtaT_bFfb|!FdsYyhV#P_d5F&(Jv`wr-9SPDe1d#}ASG|O zivvhpNB|@#$p3JK1RhdDO^`O+%MqmF0QY*(Ml}Ar0i%)pKXDf8Kk=`Rr1($ni@9g1 zmCUY2mJ6gORFxUKu5BleZx<4>G)A4)NL2JybxjYe{_ZoaH>*6?-q&9H&LoU#h4PN3 zN>lT>Z(;>wHiO1-V*$9&e?>?fNq~AG-O5G|#%j;{vcKCOUe%6gy=awUdPimrbyQJ> zW*sgrEJ&yXALe&_oa(#Yk-p_1G+;w<9_uD1UfZ9SyCOI_?oyWKkL&~? zbsX;667MfTx03IA3b%Ap6I)FuuikW#A?Jp9E>Ty=u10CXWyS2wA3R@%W-@w!7YBtF2i0cIdu zC-J%qWSnZA;%roiD=#bMo5d z+N(TykqgXV{AwL6*-2q2iJPqL#x2pa`RiLA2__l980{DnV>|H2xG z6e)miH{~yu%7d&i{Ca>H|H8GuP*viu_IRJI{8$J5o3VF6HG#KxK{GF*lan@J!m1dS&B`|fK+I#4acBV&}Ju<$9MIcWZ=N#=D`8Kiv9GtJMHDD5)x{vFUctz4sA_9skSg^u1eP(PPUNglNht*l!q3Rt@`-@^LG7alHw0Bm^rrVOdb)@VrL#DHm^ABo#IT8g>242 z@|FG7%MEjt5vRoyn1-L# zs=4iaNg2^Kqg4l}W6u(8c(CW>Bk!L?FC-kmqyIs4qEr#a<3r$Zuw^X=mu0O=M%4oA z`9RxVfVWTc=k^>=g7J~SNMp%+_YtO0xU?FTt>YqIlAB`F=`5T(0|xl;On7GrAebwxej~JlLU!?_(>jj9)o+zwC9zTF zD4Xd~iA-O-7~_RjZNc9$iK)M139yle5xKUB7UtJ3CyhGGbwda-KUg}B7_w#vsWa~4 z5j=V=nlyrsV1 zJ2X)fdAhN_J5_5-1#~3~4N?v{`00*Ev7qu#qa7APFw|DthV&8G4$Ds)6vYlqvSomI z#o+d)6s-ywC=qJlOR;f06gDFN76xn_e+E7hpYMLa4VLa+i8~T!yRnUsk2#9Rl}|qU zf@{t6^oz=Cg;jVEW!eV!`5GaU&0rB~GDV}c>%YuPC0p@0a~}t28WJi~N=g6&O>hlj z(G7aye+j`p$-&|^4_AeED&!hg07X)^C|og#)+PJQ6##y^WYm)ixie)>&U%wTng@OB zzk2?>hc%P`uDNgu6Fsal?kZ6;`F||iX2#Ubm|%!o?K0M19YMq0)-1DDHa_B5iOZKb z$?9MXYSv^-I1$T-J;HYFuF+X=dDPh7HrVz#()E_}X>xg}Yi9B4Tod%<&^dNk*^KA3 z=+cFaGKr0cMxWt3JC+yZI5#YQrA1D5&s-y;3F=hb#qL~n!mW6Qt=S}!McH=!(*S8| zA^CRv`XN<92GpA{p(PPFgUePc!=viMk{ctED_@7R#UWliRdqW~gJfXZ0xpjH`fd8i)q1;}>TFK8#{Zh(PnMw>?<~46FE#@EfOu%WiY;qTE7oKv-Vh&@ zvsZW(y)`wvWlL1}E{A)C}l^^QbQZtSVo5Pn;$?gpSz^Vj;RxfMVe+ z1TNSRss+NRCpEN$Z{}j78oa(%%Ows07?i^8ga*4`G*4g3c{BNBjHBTq;2?-B_lLuB zVm(8nQr#!4=O`fi52S_E#H7vUoP z(Gi;nW4O;@LY}dh$hw~zM?1@5CQ*7>t_YB+*nU!EDpD08P?Qt#a+XWr zCAa(L?$pe(C;R}X!n)nCBPkkx$@DdvW#nW_oWJ9y&SHqb`l$ryu$;Zq4$^O#&CCWcx7HZ(E*}@@~+CsbYIl_ak(?g?fxNQW$G;(WsmdR z%_pD6=|g-zE6b~<)~d$TXRMXM3Iy{8ZKw5j3zb%$XX1-FW+O0{v+K<9bPQ>vrh#yz z$rfS;sa->4oAhl@TfCK1IOKX%Nmn5GH=LORdetI}a7;w8-3r<`#H6zI*Vt8@pU>i) zH(>_iP#S@vU~kP=VXvj6?c6lTMbkMN-&OEhta3u}aG{}q3JxZO8CKI!8mG|nFx4hr zJ^ENzOTPtzeqnD;BjgLOjlMiSMsN8=%^sk)Y@RxS zKraviS?sa_UBK?~%K)fkuLvOQt%5P)$OS01Z!f%EPhz8vUY!Tf<>R2rS7iCn*A?-~ zJ_W4xs1mM?bRU%;`mtkWy&&#XHI9tpH+hq|FI54Kc-_iKfO1(eopuOr!*e5cD>Cm( z7}u+0SgS$>g;XiUqx?7C+7W5Z`ecrLPV8pBF_aKA+{l3_yUv(vkVeXVZZ^UJMi?^! zj@Oq2L^5aSyD8FslTRfa+bt9`R1)D9Htj)oNqsHXjdLkEL-sQXP;ohjMAQM*!CE28 zOT>{3G%TE+~c$Gb~ld@T50I1R}n3C)&i=p$>;~J)6FHpr~FTloRuj@A@ z*d))LcP1RD&sYHg=oN`H^i=(Tjv3~Ir=p7@h2yks#;8%M9qgCJ_y+)S3fW}H z(rTrqny6AsmwD!|*bu(HSr#`^o<%q6zpo)EXF-9U&3Z#x6f3~91UvZ8-heva_7NC` zaH(UKU;y8SJ+i^q4&ef0mW2HCHjK;$Upa&eH$M@`#~tEwOj*}wK1dbJVlETqa!gy- z=R8P!y{}8Mvo$!8yw7aFJ3@L#LH;iBs8YBAl z7t&Y!Rb=NxEZWXm6p%Yb>w7}Prc27e5%1~i|F*Wz4#E1QPZ0m(T#rO18-%hpDeav@bM`$oMAOL=Pk?;tSZsd z*j^*I!YrW})Myc*v!yrln?Uzho-XG6A@PWL6D{vbYMR;=8EiBDk^=j4#YR*729vew z=gkWQ4aM=NUoqRcBF>W}%6<2%b)CE$>FSMp4ax-sr+>u+QmJX%1H5Gal(=?{*r?xP zLq3bs7)UpnUMDVY#X`?9sCj?L?EF+!3C(vPZFYpI0O-lLr)Od)SwY%oL#v@0> z_}$~DJt!(Bil_F3fYg}*lb^Idyi;ZM@gLw(;V{zU;x-9W-ZM%!NgWQ)GuVrWH;Mb; zeynUnhi9a0et~#GPOi^I%TBIlQf;1Z@N0YemP}MUsmkhFN(b$8aTc^>D=8RdP01^+ zX{zd0Uz4y@W=o5l>Jbvsi*Q_z!XFvLrOHaBmwLhmP%>4udw+DTy_EDRCI!SuMqb9I z6y~k0-kF4pw@AhkD$UC7s}}LkQOu-NOsl()MH+%gEn-e_X>6E{1Mim;DSRy9JsGP- zl2%|uq=hyul}DGO=^zJ$Rq3}#b^Hf54vp-!CgI}|DnHV6XE+WrimQl^6{G8Bp<6G6QOiDi44TnB6G~~yYf8-hrVNq(Q zcNthvo@UwMYEccH9jhMh+cb%EJT2#rnCUhq-EYtB9z$XnD*U4@xCoVcjA1CH{OHIJ z8;=C6S-23_4Tzhba#8t-sRDN~*Y{P>m#VA;%jAJA`=3!T>17-^^58!Rd49y@Gfn0a z`tH;0GE%(pXlfjLMG}D6+2j?Q*`RfW28gZG($_zptp&Fb`I6hUJpbVO#1*TzuLa3E zNqEM)h>VRd7EiM)K^%oinaECDF@skPEc@v*=yny|RvSf83dC>oH@yI|lZ2vi^yi@^ z3iLAI9x#xkzorv-wMGFCjEUsi;4)`^{R#JmL_YIwE2+s>ISA~_oORQvmd~vHri*pi zIrGv{>tsG_J(+h%-aO5EthG7CIYF*O#sp(sPe`w{bFD z2snqtSetNL{;Yd+F0;zCL-Dh|Ba>x}@5qeMMd?L2YGGACH7s__0#fy!NUU)Fl|Laj zvD4AcC}{}VlO)697!`bd&vJMisb@NzU> zN0Sq}=mJM8s8Gl8myXtlWE%gEx?`g{$|Qx-7%A4zKZKglw@>Ulp*zeP=3kmSJy~5G z^YUWTx6TCrdtWDG*1;M?WNgS}?f$M*Hjb?k{_-y1^m;u_oNpy; zv-wodKa=S;LW(B%y&a9iso$*nEcGWzC-x=u2fRV*q1JKN3#}c|peA_NF`YaS@^Jvw z(z~&r$U%ACO=w5u#O`LAvpK0r{XEr%P;kAEXGYc)$^5gI&i2yt**Brat(RbVH){X7 zO_=k?Nnc@s>tx3^jhlFmOY8Ux>G+G&#RmG;$ikC!-55Gt%83;%(|FY&h@iciP+Rfr|zv0I5 z-Vv+=ok@`GKLhND{%Iy@eXedJhd4x+jO2d0>O4P3MhA?n{XRwQ)GgWP|8_jQ{C<+x z^tN5^Jg%)tX4ewI_nPtNw$n>V*=7FhcATg!;I!|`T`9(Gp z(&M;$efUwj8gr}1T>#1bnhG`Ic%I{GjZ?OdUpvr2PPPsCme>E|Z&^RjuQK4B7P~hC z3h8vU#JRrmr7XvjiKo4*6I@llR1A&^y6*uP829(Sm=KZp zHs@tyA~P2KUG~m0=;k(4TfGpq`-3cNpw!X{WyyArr+MRS;mp696|LF_e}?5l$)Bss zq6M_ku1sc%=lDwv)~W^F`e}rCtIXB%b4@uIrR@n%Bm)$BORR_sf=;XdTMs dtuv&TQfaux9ker9%HL=(z-q!1Z~y(y{{cdL2SNY< diff --git a/firmware/db/valveboard_firmware.ace_cmp.cdb b/firmware/db/valveboard_firmware.ace_cmp.cdb deleted file mode 100644 index afa6584e70f1ec76c8ae0f7cc964772b837a9921..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 91749 zcmeFY`8!l^{0FQgMbRRXWwIrEC_7V0iXuyPCfPy~!dPael7twtXPJa3%Vf_w5ylc@ zpAaL1!Po}F?DNd$`@OE`dj5mwm+v)moilUa_v=3Ayx*_)a_&Wli;Jt0{}AWM#pTcW zT;-hYyn;h~1D{>gxT31As($fisP9wHi`p7jE~=}malRT?I6rO7F5dHf;dRl()At3Z zt$qCQ|LMZq%}rSI1AAiUo{YnM!1w zh<~5pG+lNH@BGBp)6>T4@kozw(|V6^{nHUWIne-HN>bQYFYSizlZgolAJWf+-X4)i zOcx5hYQ1~_MG$uXK{rD%AwxM$;a}Ph4h)dk{E(qt!Y+YH-8CTS66SP^Z55M#5uQhz zm7snSocU?eVD*w?vPqVZc3_56JI-nX!j$74zX^iH89VCmRj@pO&fET2kMR zX*qf9EQ+n}|69qC8+*RO{EB8Y?FjafOTS@iqU@aS%vGot%?f`ADkB%E96YB|BdOS8 zf1u-rW5BNrwLq834XGUBLxddeL4@as-(0E_1iVPM0X<{O&Di3xrjSng%1{VEI~K z?zpzCTt+&rtU4Gf4vrWIWHm~lL!v5oL@GVlr%slXK-TLGEyWN$AT%X_Rlko{FIOoC zjRa-(JfNJcM`B8Q$PcrSHn=1o96TttDstJ zWR>YgTG&0J2XW5@ZuSc`^v#l(YeyKnL$ooMSS5lD6)k79^7QoQN;g0=?fpuXmSuxm zL{MChuOZym<7vL3AX#vN?h$~h(`uM8;t0#w!}oku!Yzx}t3JcrWv>)O)go4c|EE56ODpGMj-V9rGh^!Pj6?6nlpP&=0ao7+Qi9g6 zu}dZYI}f4ir`b){mT^v41k8^<@e%0f$bP>5vJVtNgzTda1_d%(S*^ey*kJ-VQF}|i zCtGj7k#&#u6uW?frMM+(Eo93J(zmpCgjNHo1oFWWW-M#NxEqE!)^8c{JPQ0>XaqZ* z##C|h-PgNvi+XUf5J*z1DX`0#!qH*^)h0mnS|;2$JoB$1t6W)kUZ=z8FmX~MAleU%92RQP3T z3R7MoNc=9y%c~xs_Nx#m1iQp6?8zF0nJfbMAUL)HqFWvgKs&=Otbw6ygx2uQ$aY92 ztG(@QKT33ORS+Zeb})MHVF_0(N(s)a4d^~Prj?zle9~9fdXKBx& zG0Q0F{aQLAoh>E9et@n;siA0m6Zd}MvVa3DUsAZ@adRmc5V}JFe2EEKq!do$rr~({ z38)FfN2BM76e~QNRP3{4AL)dqRMSGDk)jw@1WQvCQkQ}Nx&%yELz!VXzCpPHpSR8;6*cA%uxQ54dLXHYWt;@) zuPB)$6<@QZ-?v-Vne0d4%+-O;33vrx1{v%)7WAdHOEPXdJNzxXSp0*ykh5GjUPOruM!yb{Q72`*mNQ z9d$3Nz_1slgGsyElLJtJwi+D)1js|gK$BWe%ywG#m&l&c7g?W%M%Dwd1I36*5V=%2 z4AMc;KPg#<&Y}Ea?L$+T0S4dMt6zXKTiSa>zQeFvKPMGY{sA4U6ac?YnMEM;4J$Kr{;0DxM*vn5;J zFbZWT&fH+}uTE$}NB5AWunA{&B;DsDON*`94rYrdh^%Fau*2HLlbLIyM|;Boz1Rmk zlkTHo)Q@RYTiViOOtI77vx>LqF{rtE+IQ5JAS<$aztlhrI<~%aaKP*UAsv`^K-E8e z3#r2>1ey73RJOf6x*hf%-k&lFD9%o#0bw&>xi&Q4O3}UtEEgE}4ao5|B=i_)1(%6% z1<{$ij3ma}TDCitsC(z6E^K+#`4$w0`qf{AuTQ4@q5A}st}8! zyt#M%k^&1n+K4&J4C~P+);)mcTE6Xv@2y9hMb>xeuc{;br3_nu*Tkvoj2n?*C~uv; z7iu3gJ`~IX#1##b8|g8|5{S21`N%k9%mLhKl}cBtr)ZP&-@}C$vaSK2FnnP^Nk0k8 z2pyoGRGT!$vL2--xH`qO9<9af#(7}IGDMS$eGbw%@J&>jTPmYennDYXR@psH+S!G- zu_cqCjtAIZg@gf4Z%b4qGMR*w!<~V(;I7#+b=vQ+MyVfnW)AM^w$HHw4g%x82`=sK zK5WA`WisrA0?Sf`fOBOCAZ%g*SWLiR>wm`;69_1$jk_o6PNAF@;CTN*1Qhx;6iOzS zA4Gl?A$oKN>X1Bffl|==MW1A#V{Au|4o9!}`suMMf&xf|c$$VnxmC&)T!t^Zr<1KtLh3h4$jE*Le$ z>asOI4e=u*r0G(^@WOt3Pv(==nJtj;2&{}{EemjtxKyV8Tv;0PUcp^WOFS< z!{{#xt1ccm17Y`zSyGvVvqtUx{H`_#_;V%_(ujvmeCYW)QKvcvlR>4>bagHj+BS zCL~H@=LnZ;STZWYG?7&$!5*dG;oB@+U}<#y;@RW_6soj!B)#_+cv5dIa93t&Oe@c> zkCS1bf^;Y)tEC2gxH%M!^4*XaA--heq;r4Kr+v6Na^86WdW4Ey0E&b7VbcT4A@z;j zXebJ%vtPMHjR^$w`z(=}ubBQzS?AOe1pmm&CBZ%d{W(#!5+%sH<+MbI{=6d)2dkuE z7ch0ORHo#F+90&PONLZ)fG}BiCGHkFF}p!<-}SRaBPl35SfvSU8bP1rkaIS*v5<$P?-N=4RXniZ9lVn2qYT!$mp?#zrdl=?7C}P5}SC>Xf)8Sno+MgsRqPk1Fht_Vn)hg1r8`;?g`O7ytB#^l>bq}HYjM{MWq-kFYTPqzys za`NowRlJmcM(>#OJCB+WuiotFh3ou~Oc|KJ;YHT}UVy0_+Av_}?GCkUzfM#gJvLm> z3gtJ_p8pk+JZwZdcKw00!0EUZ-lVgm}y9sAJZ%zrR-`>Sp)T`pL#R?>g4?DBHF-g2|wz5ig+ z%LzS+iP^*pm@ogqBtk+%UC=J}Nq7H)b-9dygHDII;-{|u2lJ?^N+xC#zp2On2g_Ev zpr-n`%HJTj=Rer*iHRVI5Z7y${)2Ju7T<01J2c5>>_1p?Q?p*x|2^dYY4ZQ*`hPb0 z|Fh%ybRVsURh4jT=JW9dtfoZhT=i|6CWc%tbITc@y`-?H>@xUNDSRf9K0klnrApGC zcFdo?t$RgBr#B??QuLqp&0}sEW|&U-N@m>pWw}bGYN6-_;MQ|(ijep^cwyvt1mX+} zbFcXH?O=;agk<#7?VdO2dd!PTnO6i&^SG?JycG5y8-b!Y!E`efMS*1JBbY4q@A`wH zp|v6~3rA?a0pl9=-Dm`^^~7z{Qs*W7V4$M~HZKXRPB4pHHXkuGwhDeGY=C_lz9Geq zHcf^VDzvU4}7 zL{tcaV5-Xsi8$&O@(LTyhrf13k+k2yv`maig>>zvbWC?AObO_wkmNWsXvK0 ze*A={BDns@wvz(b6@dp?Cct91DKjSwgb%|_a}#=IxQkw++pn`6FM(Tic;Ss^tU^0q zDh;hmYPinW5(+fH+9$rk=ERcpB5ilZh=*CVx3=}H7gW*_k-S>FbBZ?KCIfnPychh)0kq>;gHKzR@E%LL9JM`D@18k?{F*%^+H09(Xn_4L6sTiqkrTwBg5x{wUnl?`& ziyO=-Q)TK{?W*#e7BcJ;TuAQMH=(UbqUTzVa)Xmjx3;IoU=NLG3t7VQ{{cOQE@!+D zQ3JqbOnKlBj3j>y8U`|-3P{E+c8%4Gg5UE>3JUMTQ5>BaiEqOVInNlp6Vx()I-wLW zdCKzaD=agXAV7(S!%&)SW;b8$Zae-9gMs=P;cT6GlZzeHPf z;E#65)Ul~iP3+H;zPnVDr`L~DvAo&Y4@S5BxZMDf#Od8#jM8l$WH|5kv?|!OAO_p^ z+Wr_kM00!Ph$Ld+dU~`$CY(0X!{aU& zJn1!hCS+SjiWlCZxt+~p&~AGkKH|t`UD}R*mOmeKcWAfCIAoi0=cr`JOH)&u5wPw( zyM7ruW3ie`vYZE=wKN~(As~6+ZGg%bG*DH1k3P*&6M!3BA!N`Tybbmiki>dZ&^PCU zp1B+BS@?M}@vB^t14rQOv>5cGprhA{9R zqNEyeaP-0V&s2{WbB)ah@KsEC3lH1_x;AZ0NBIYDB5;bdx0CV#qO98BJK+09T~hir zq&?grc8(f2K!kn#AK7rlfrrW{n2bXP-u#krELr`z{Y8o;d~qfP=BmsJ<$K*d2O>d(4YwmV zLlTxt1(W#PW)6b~g<9J)Vz4v8+cXyeH?`iM{xaKo4OiSmlKI@yxT(I)^PyfAMksAw zBr2j|7oGyk&w7RRo)7hOZw-T+LG?Jy5bE#`6L5y|> zLP4Tt>1|L$aw zmiY`;LR~cfz<0aWQL8wZ5)4H2P6K^B`Snr<9^bC*z+C!CF^l-HgTK%IViWB+Je?=+(>TY&hkb-_SEBtYHYug6znTdGoi>(msn)E zxGq>Hv8;T-$ujv|>)3Lmx=*GVE|Ww(2tTl=WWgV{ZAU`5kj&TE+TeZOu%&r2EM&W% z);{Pk|Byk~BAW6bjk!rW7s0L>wLq%V6yTHL22=T0%Awb2nV6^iRII7yLbdN5@CNdo z>CSj-iRt80Mk|B7%;iQuf?Uoc+`#ltSyID}N}hdbN@8vx?dRRqzZ2>gf;_qYGkjbE z`)|U*&kERsx56vC&HQ;ss8swvK8TJPdAO)3ovDp!7c^(-h~exTcgIvm2>CNL2XXBw zX~1?xYPz+P!Bw~R%(H5POP<1Baz zgB@@+gBk!h&S-k;SMk3HP%^vi8ZYLnE-T#Zs9V5KVrVRB+Uk`^h-BijQCnF3KU4&D ztNaysR*H4tpM$$e+;zgqBQjsv>u)zZ)Y!Gd29ZAp(BIs^Q(J+~Y>qRUbsR=r200n* z_tVD;$!R?yWz1S#aDmNv3|r!y0i2(LSZFfj#e|!+zTI|_c6zf-qvw&C{o)2Q{P?ed z3wA)YhoB{OLXK70bZN~B+vct=R%WDV_|Y(}d+ z5-jkq{{BM5-5~}&FYn;4h*vAzfCntZZvPd34m@nBe2LuY5&jd_a7=Rc+CnNB61V!( z80XZFImiGzC=2DbIy{bYGcY{$(<5y@^?(Wga)IG-Y5Tmrj@vgByj1717Wt<~1Q-0| zYcy=BLd1xpshZ+Jm|8!T^(aD*>G5G z&^{r>2mbGKD-iyi>X0vqzM z9%0M(;<|qldxG}TrEvt>0w>Cwa3M=xV?}kr`445>EECuz|LTu`RniXzcd%y>$e-qT zxG7WiCxOv70J1z<08l&Ks+z?tO@TpF3Dh~1Ck3N1KjL~`a8}{`6gANICrtRbq&+7q zA?bn*G`ZpDe&VM)!7FNzjDxWizBREmHQmkB7D;1djUzyrB=4=n9Vlxm8d9~%!Pc#k=;Wcw>35LK0@VC z>+*58HeIk`HXfM8iGoML29DxH{5C?hgIJSyko&B^gXpLWW@7$X#MDuvCAK3AhcOt-L{qsr zNsX<8X=eJ=YwTTJ9E|2=(P}8e4L|wQqeAw3w1EH;jI!TNCKnmt+@t1b-ljic-h7fA zJrZnmS!oB50@H5PD8mPzvaJ`zNsIrzWdGh~$kzc59tgOxRjE4ZsJXO%pxl>OI3FEb zTh17u*|qJAwQz&)i?k+Z9IP4>3HE)F>U*uE2)Gw8uvHchp%4BnryLOHoL~Kq$DTg@ z)BoE%c{Xng(5i_>8Rg?aKHgn;;rR&{3jMeboX@S4cZU-ni4wY7#s_?EC&Z%I7TG$l zU~5mD^q2?!4Ts=;v7|q4sfar^A(}QSlW$33$)v20FQju*af-z-Nl*=Gv(v7Yl2{H>~&-(yFLUSqL`Srf|O zwoAN7$Tb?4$O(TZTU8a_W5pD=N5!APBbxFyBB+ehX(*>R7dcZHBAaRQ|BerFdqd{b zFke1ep+pE#BcLs99n}4l@Cf;Nm!;^9>f|R>&I*QiM==2;WW9qgb$tgN!hBD_n0+YTZkkB6FRh=1cAwt_eTR{aI#bwdjg zym-lm{!w1}$B*=l`UzT6w(%=wc)9*~bfGyZ_sD?gR~Or(h;OjUGjBpebFJy7f1U}L zUwI{;oHy%YFamG@$Ndp#8S(ZfIUdfd1|WNMfUNf*_aU3+fddMszC7d=^T~# zjq|5k;*(!4tXnWDu0``xuze30$DO)k@pt&&pt-X$$ zkK_(x&zJ254GfM1!QzIN>O4Qq`8*6MoHTmKc9J_re64WSLE$m|dAsYWjjs8&Cy$m9 z?3tQbN7Lr3^?ohIe%_vf4MUau3xW5*?Qd^ci3=Opup&M4g(pigt_Z8nd3}A%YP|F{ z#R+mM+~s?n`2*RFb3JichDh6wM{ehT$w$F{T|Sb|d#(LF|b%HQSsr<*U1v2un`L;sG`9bj52?|76_wo?<>sW~2HMXtiFy5i)9Xvz7l;-}=P6`oz?*mHdqTK06 z&`lWh>(yLW#qZXe>(4L|gPqNFXBv7T$020Z zYkDZ_#FJodZ#_6=_%OeF^Mp=~&ej8G(vS07wUn?OWLhER?7n+=R+4jSv2BP|@~D*V ziTr`H@w4y52JQp}X_i*W-A+iHc`Lr!;%SPb(tD+rrSH}`uZb#|M2C8~pRgUoZ*1vb*JiU)w?4~)Qs%8plal-4ut{MWl22lkG8!)S z6%a2fjG(CnrOAYLMNO(aK$%W4AYH8zDKo}4f#tV8!HX6?5o#ZjeIRbSbuU6c4Gess zHLach;S|&O`LdUiQNp4~N!!yA$CRW><;WNI6IdreL5P6)RkrEs%8zZ%@Q9UyZ*JS< z?iG=2KcPv!p?Saj)Y0w{``RSstp2~nf5uGLJhFn~SFifZM)_~wxczFg`oWBv(SmgB zM)Mi`^`Z9krF**pL9=O|&bxP2?cAioFP15i=WLR1O>|V=S^wQEVl?#G@NWivfTQpI z_P8@!bnSRju&h;BChd7pNT$3aLW}azfiO3_e*Tyzb7zVe{?BNj|3C|pn1NW;P+IJ_FMc5R1&#PpTxNI=CK&0m)6;pW>zBcJi+|E+Px!I^*6S@o{vc^ z_cHLk_fz7+%v~5x!Qt!t=Yd#2uDSlmkXGj4Crf>nY*S6UXC;xI3{#2Gw7WE9z$G;j zT>Kg5C6Y9dx}c$IWlxw&U08T==#fl9HvQ(SNE_WA{rQW2UWVT?nEsW5K4*>`sT=-_ zVey{AKPR5Sr|(gyWiQHZy?~lF@#;w^8)tQ@++#UiP7n2p?VqomEXs-A@-@SG|#KPdY5u*W9aE zJL~n8A5E=5fB5k5cckIxREYOqc}J{!6JO?Is{lwP!yl_p9FmL|XRzhZnGf7m@XhD2 z;CuJq6Si$WmE*R6l4A0{6XqAk%U|#;ZVe2UeiwDL>*>%aQ)tvh;=uulK&kh9kw zWp?T7JQZ$*M}Ju;Y8|a*UY}i{I``$3D#fMV93Q--2gz)@{NbC5)$r$l$V&A0a2@4= zFq?0YvOFB;osa1zA&2gp%?8`}850&3eDh9~-MaU6HHhNkBKz=mCDL@mYy$NV36G2;oym32y(4QBas1*=&Z2h)`4>Q#uf`^MMd5ocUX+-M+f}{ z%u`R)`nZ#G4^u@xLu#VM;QKiNVUc|?2xBkIn+@N6*sb+aqgPUQr{X~I)BK<0a{^@= z&(oNvg3o#WRbbwQo!*nT6kfX`fw(cH=}!0F^AWp$)A7&2HE95GY|&Y;^ii%Fh@Mk2 zYB%iQv#vk)CktnrpBUr^TxfyC{Yq~)1pkcbEFkNiNi0kX-j+2jh z%-K(_vDA5|5+iNY=BlfHSuwdwOC-Jr@+Utw$9LsOK2c9*4NM{ zW@chL&LY{c`ztoA68-#pdxzdUtPx=ZpG8&RAMMQX%Yrr#QZ7!sEAp zJB}(ay8RnSnt0p49Wsb#W4Fac4hi-5bp|F30|TP;dusE~s~v%D9-kW=vi4?tTSpAe z1CNq-JlyWW2cO7$E8jnli*Rr>T0`+MZfH#DUJNV%xHHhxr3BgDV<{lD6Yto;T_Wi3 z4@_yJ0=0y1QD2_UToKjkq>K#~5S6C@_Rdx;!6SCEXHNs_#v)I0<%P7}pB4x5Bv_z> zP$Zm5csetx-}B&7D#HO7*$IU_JK!-kcg+U$vY#mvr+PHRcKIP6y&4u_`7j+|a-31s zIhvHPF01~z*(CX&F=A1df60?BW&)cmsRv4VWCKR0fjnY0l#QtE^7vf$_x5H`1!V6F z@@LcJIUti>$xWq?e-=6$+;Ws!wbK4+n6^+Keb_*`A{v3InJ57@h?Qc6g zz#u)Sd2v~9A!V=DO|PEEQQ+#w-^y1S8rMq(M%qu~^?1BS|GcnoY7=vOjn^;Erw#s0 z@Robw0Xkt>0k(OYF=Yxf&hz-m`cyIQbz*JPojW12z2#;PTDfOmFnyY7& z3SdH-JOc3|4oty|i6M;AF8=dNyjRSFPVl#-AbfJ^M;})OIR7)b!xuxyc6@B)ri}+D z&m5L|2Zsb#AKBvw$Ziq4Rhhzm){ETtJkp5QG_6rarvTtji=gSK+;f-Pv(f~84MCsZ zZO}apX1p!JXrO@4PmMv2tPJ_4eb6UlCbjpb_<$wvn{0tYn=yrT5QUy{hN}kaNS{WZ zvYcbZIqoO?kIAxjAH^-H6%eh%xcg=FoIi08yLXTXBYsN#%Lea=1@yxU)$Y+{>^%3H zt3;hlFPihoHsxspk+ux1os`TA!-hO8Bckag@E!H|^C8Mq%wSx84{( zj8=ICts5JY2Hd*lb#I5&Iq?(_eQ#4pHHEHzSOZ@cObDxh^sX+AT9{3q8UG0avBJBGZT-HJw!Vh@UfJBv+m3v@rCt?W}qKYyH5Lh)E99~tPdZXlSs!rfI7ck7f1$<=!5eGittq=Qcc@kOB- zoFQP zD6KRtzh=vdmeJUC;5F7VG^`((QBt{{`S5#t32!T$xB=U?N@{I^ZGL;? zc)A9i*kq{4Tj_zil6AGkp?}{+L6Ns%>ddl+>Z;ag!TO7lzgIZrhG5Cb?x~VI_eg|I zLyUFxoob8IV@3N)A$JedlSKA$^#RYni+gVN5#lza09HRkw_!NDMxG#BX?3g!_jNp zKg!myE*Y|Ws(idVxh~STZRu0!l#5HNEslSBrG-dEU|VGmya)KH7`_rE)GZ~ z2Is`V%L0O02w?GfuTQjKT?6;N*{7MQqh42ij-t&&gCd(y33-+L`Sy{`8{~v8>CLvC zRQ#F#>)&D|A8NS8nf*y~l{Iwy=TZE5oLrV3hwfgIj4PA=lAa#prc^qt{xoY#-Z%ki zRHO6ubVyVh*Y8_$qRxiV{hVd^!pjR4pncC^vhJHQ#ZW^|J;uj!GFeB9c?z{0hd&dY z4vGlX__q*T*)XYU0(!kP&38*B)KL5JQOjS=dY0k1vF}D@Hd+q9ii7@3Bh~rkJGgNg{Gcq82I5yxg)bY zX9;b8r)T}H>BQC6iB|YyxySpe0~_B0k>B}Eot;;j{!?$i%5S**YTt2Lz0;$T~|{p`VRkPrRcD#PYY;>NFCGuNm7av}|T3@%HCRhu}0zI`#? z8t%c}el5F*thb0D zZby>;?epK(bNg%auaegTrX7~XaQJHImgnkXpewl2#DnYVWYiwZDn_l_>a+LyTXHjN z3ucN6^gnI}dW}zC8$K7G>0Dg9d&AA)e+dddvA^szP!e3F64ji%IAV?UU-}eKp+;Ol zisixWH?K{nnoR&{5XGi#zr|36&R9rA#s9LDUYmXz|FA%Hy+~-IX;LB7utv~4JF(V$ z$`SriMTCV z@y#u0L^UxgCdTm5P-%>2_2GiJlk;nN1t-#g>2JCvAL>n#$)iP< zuE^RZA(Qm+y33~QvW-Y(;)kJ<(J@^!h3Sw=ycK4us^mX!Qk@dMaz`@bv!S9q$CUu> zRqgDQ)Vu^TIJ!h8^>yDtin%;HFQGbN#)E6{qW=oTQ?C(UWJM= z!JP;wIPo=_w}MeF!+ zPx4qu)OZMXhFN)Q-22n!8@6rMJ5TIu59M=)jiv=eG>Ni1cwG?yx8rTIGptJ@yLa8b zq6aJhY%*(AsW~{&RJ9`K8w5)$nzLSVcQ1K~?rR)nNE`28K zml3KO>COtVPwRknhhf+7Fh+Nmpxk*`9yDAv_tv^(uN53t8K3FIo^m(f&h~hn{mklO| zvwrxu_MEh!S=_uWMN_+5wLNI}JG_~QR;&`G_)$cb#9}H!EYk;2N*$yFbLbs&s6{ zzuJ0J?Gl|NvGy5*s*sqXlI(Wq(B&?x3*hF!>~bQ1W`dlrccTK@7O zghJW(q9=BI?ug;1%ehn`-zFEe?pEHlPl(NGqA50FXOBZFdT_aB9o>*&B%{c2meG{WSe zTV6GIoD}85q+cEUhM2`!z~fooTl1&bf}>Ja(JbOEHg7DDoEuV196;eoWZhAq>yFJk zR{u+=vlU9RhS{;wh|!rb>l)Il!*m2Dr2r!CU&9q3IE!R*UKhQgpo~7@9LAA6OeFvP zg_e##fxMY<{=+Q2jw}Q3T+gxi{fQ^o(#Pq@3=7$kqA(~Q3h>4rpqFC8zXK~@0VE){2DTe0D&MUF>Lv-RV2LOidxS{&iT6DXMQ6cX1CXM3aiH zIx#=SPgA*1(!)mQQgReX zA&$xHa?ZXE>xVrU)xyLK+a6m4Vda8 z>@UT#wtDMmDqBvMMc?Hz@~D>R$3ci!caUd6-kYB)_Ansc1^+WWwXXQYU44`cI#gj} zVs>E~mmGD}t#->H4KR6;1&-j1=SmrI2dkB}52@o$%-7yp**bSmhppP3c<6zGLU9KP z2^}XLkOIz95g$l|xNNbesAaAlmacJiSEaBHOkQb$=yo+1o(i0X6G5>x!c^a04gH zLJ74J1}IzS>Ds?UvhEm^Lt%3JuwxvdR0z|y{N#KbQO6*xc7^IM5VqAM8luyP&tN@H z1wj((9JG!xOBY36U1mS4kZY=XM7K_5^DJO0a@9y9hwj;%wOA;md+Di8^8_vdSK6}pHH>|bd*|WK|Ea2UB5hqx?Jp+e@om>ozQ%7DQp*TZ`YO#{(Tg@lfK6IQY zyPC2qg1iHQWhGOpMss!F=f*wqp||yV*yhwNPcamBce!R;uM z69aE;Tw0cW7Fw|LEe|Mwv<}2x_<7c3yK^7lx0@`` z&VJ^ZH7#+#92Js}?XC_}zI#A=1Jqg;%-l$Mty7(X7J4Lgw*TGT>Wis2{~A?45iI_M zsjXWuj#t%&w%9)}LfP~S+@ZdqK&BoGtG!UP?G&cp(qPFNdzCx9AGJ#OnsQh${ODAP zGLJK*@T!Tq1D~JE95>-YBzN)idR0~*f$z;BdiC7$<$iTB>jM4GIVSIwZj0DQ9ru2$ zz%4#I_J$dLI1W@(x@-3Pp3azOXXuZ1W0wV~yzt{H-5)>xwZvZk+7)?p&%=M@Oi#0B z-_^v}2RZy9Cw0f;5~Gx^`)D}5$fulBfAsVP{*RY(v-S6j-im0_IpLC|`Vu2+qs;AS z)N@r2E0AikYBm=SuBty?#l-ASmFk&mxcBL*oAeeVh3QCx}$MTNLSTG*N<}6J1$fgTla&?6mw^=vQuYR zc+NIZ@y9q$`q|yD{N`1bmu_HXQ8##$Ss!-O+>iR*QX7}o(`s~;Ew89CdDo%U@kS;w zoimMy%TvYdC^cQaWTNxkGTS`xb$#Ly)5?eI&q747PoGw%KMtRL5&HEs@K(T&6cD71 zAGmmu)|K47+Nl}*74Z3wIJbz1r3=mjifU?ojGsX0qY&*p^<1-0koy&*!FFSQY)m5ZMe2$T?CtA1||BMNvzN!$-6T+8fIIqdX z?haYX`k$-Mc1jRm`_-*te$7}Dpd4GZdjk=xZduM0G7oao1pJ|&%fKUSc5e{tBJZvm zTxXl+8n?0>eoPH7x%(x)KdRhox)tet@0aS-r=)RO6k=wamHfO=$X|*_rQ>E7w&NsQ zrpX%hK>z8@o(eeVrJS+_%;)QGk^aH@CH6_vk1d*IF0q$G4VTBl4Le=_Y9-&hHekerq$Bg-(L9XN)<#MGoQ+N&lEFu*D8LI zy7Ybe>Pfb5;bTduaFrq9$PCB{^MA`El=-QUtJ4>Gf*wnuB*wPc*NfZoEdPAV-fq2f z+&n1sCL8sJ|KLF>K8MRU?3oH1n_K(cez4gnx}y8X(L&{{0Zq@LFsMF#CF;=Lu_#n0 zC!c-zI8W<4KHPPU22z2VqqeSuU*ODQ0Df7{%s;3H)&TIOujex~3iL(%zw_lBnROb5 zjded`eJegF0?qZTOf1KGK@ z0#}A}s)gdgYZT!lIs(Tfu4m-gSR|DR*2?ga$kek4qSv(r{6LgO15lZga=Wy{-b287 z^*AOt%vmo9Ld-C>m#y1g3V|mMoz$Xzs1k*Bwr_6!d$B1y$^T3hnK5%CZ6v&g@&Oer zGnr1%sYN^s(B*QrxQ+;sjk4-GIO@9UR7 zm!^TpIJHG-J-S2URg}BHsG`jIdh+pc4(vYrF&1Tr~K4_k^O8lwQ zhE7_2@im(kGw*Umzn?*;K^sYD%uya6YsWzsgtUJp>?w~TltfpLx<(g1lk>D!LPiu8 zv5(%!5si}9xN!P5HinJ%2i(lW`qJE68upw=;8z|!8O^FW0IjV6Zij!+u+lgjH+-A% zm%rqX%h0iavHr@Z3f7&~k+(jhj8xS^tXKxZD(6^G=`YIPu=*i?nn+&%UwGNXc1!<&<86M;aHZRd>LiG@asM3b@p3*%_%G$|33 z`9f6I4rfV+h|4$Flr(v1L0MzeCGu>=3*Nrf$A;xb@A5zV;i)*YHPTe8`!y7^w{oM9 z-QIY;X7XN>2>yEp_`HR#ZZZa}!>b6%%e0dreoyj}BiM=Kj$erwtY|!xriN*}2s!QX znHld|wJDXSw7Fg@xHrXW8v`#bf6>i8r{%7E)-};{HoW(5SNnKU9cRy=GTUCmf3N!f z_eXY%AK%xkwOr7-4kbK$9+t_7^TTo5UJu*?-V)VD9~oDNL@tgMo1kD7~PUG__k{F zALr*%cD#ei86M=kWq77h$zTEsa7}7p8vv%Py4mcEOJ5V`WA~Y{M+ll>J{!|HB}z|?q6yws?1+j1zGZlzA>%* zT;(oEi(`^{BdRtraDFv7c3lpBJ$ljK>!*TC>U%H0%&in9WU0LD7nYa(Suc{x+RNNh zZe(}t{0KJ7`HR9`W}5Xjau~P%30$9MeTf<`&tD{aR?0Ri z1LPJhb_TuvUHq#)>zeM?syMMpjiW0bucgJ*m;R@rh?Q*oUL`Kk726gTdUHD#9)R+d zRGY3IOkbB>D){@urN3XQes(y;i|YmEMP?HlXkzJ`gMJC>5y1Be#)~A<(0||X7gWUb zwi2WhnkSTx?5Ews+ji1q3(MYdRd+kd9nDLSu))s;A0&tWVV$*5ZbxJY$!$O2qrPo! z{YIR-UHV`M`ML%9^{ptxRk-+KH1LbY1*Dw&cf;G;*S14II48vM0>nS_*(&X_Zeu{g zru}PLR9fG@&0yX@FQ1_b_Ql4x?PB#;;*xt-bw(eWuTLGkH0$pS`?_6o+YkOK$6;N2 zK;Iw!Pc;W=LpN%clvgMQ{o@=xe}HQ+6`XRFzx2{wW@F*HR)x4J>vU#%60pTf}C9s zkO^z3N2F7UaT6i&j$a4Wnb1G0;L@wYToehO_OK_vj^KQoz+bH=ymXdfY!^J=A-Lfx zgs%f}%Ss5rehWXT1>eGOFv-PFFGR2&Vm_E#Agh5#Rq0L861LvjT7>h)1Gg^V2y1(*o(GkdN<~2vUdAyHC|13!yp+~BEM3g ziLSbT7BfulHOulz1MHW2#nnD zWk%xh-%;xZ53gs>7`9hyl=fm2dVUVQy!fjmk&z})Kwq)$P}^{c8+tS+l==MuEbhJN z+*9ZL=t*oO0sQ*_5dRkbp@nTm8=b+xe zi20DU*umJ@Wxd9C>;^?WgxoMkG*Qj@{HoPuW!}w1Y*+B^&Nm&61ZpSU`h(dFm|mfI~0Vav)Ar zXEsZ(!ifyY5y2G{XC`MTS=wG#Pj$P@me*z27?XF)^p|L9N@PkRzHX{DPV=FV!1-%& z&+~NDHl?^Ys@0#qcAvVg-+3+^DzvXQJ4*#*?%02npBIGQY+_S zYI@o!Ng#R6vW*ZVj za^qxB(uwYEFt{tE@EINRy$!?hhxmR8S+|5em(3Rna13L5_HaIPReQgg33WzWC%Te+ z{y;&2#siPq!x)piu0laHJ(TP(ZXfLCmc{{8;E!}ut$?b0ug;tKwMO5BzkLVUADqg} zOwy&q7T%dWll~`TMl+4!Jj|TaEj!OU!X#lRm3oyS@fqM>0{S=@23LUlN;Kexx}O~r z(6Kn^^T(-2yA=s%0{k==0oKzeNG`T9WD#Nca1kp)GiL&JTT!xLk2@>QvZW)z7^%AV z4ktSJJK4xpcta^DDc~=k1NoVF$EkmxrgNMw4^sc#T!OxjyYQ((D;c&-dHGqr!{y1~u}9vqZ3doLk+_y>AQWc5>p@`Qu7iac0nQp!K8lKQ^+fFI zAu|#x<`D8MF-qk2e8G}A?OrjMonD8Wi@K^m9vnpSTU2mFq@RD1w1;Nu8gDo8v{5oJ zk*Fpm zD$(13n0S8Lnmih>im}YBB8v^C5YB#*txq;fB_!n9fOVx^*)3<6{1OJ<+upks5SN&w z{Fc2m_gxXS{L)yMSI3!x@PC0bp1kLiGzJ&Nk1u)Kc*XD3mo0!$Qwd0uF|YZg3WBWf z!&ukHv19f55^;VaTEe*Y-cIK3^fM6Qz2qPMD`Za7H@i&TFc54$;Su?5%jBzvetH=f z{$u6#+5g>l!T;}_IgNza!|x66e7xI5`t8l&zE_&IF#Y=TI#;}J*`W+OWC@%HCz-d0 zaVa=S>i%c08oCRXgcf?N4UZJUXZ`_%H-(y)E?g(Ya7`^CP$b^6__(tLNV= zyfV4vxnn?kKA76|M+e(8GL!eM1R}O$=!?)*Oo6kRmcr(0b!Cr~xKX1_@*;0Ei{9mB zi?#bp{8!afEpWl(m$ONxzL z9S(lvmD)Wh*#1U|GS_+`QJ>?5W_U!hyYzI)XWy9c8^V|1n9- zzz?#*X|=ub#G?76R4_1R$5r|Lwx#QFAoY=-lEvS< zEz1%6Jc&0RNU4RULzXb1C%lhtOO=LfCYe9W%KdO>jWR`f#`LuH0rzU5?P-~OHt^p! zHrE{ivtgo6>fnT*rD^B8K(sF^2bQX~1$W`z2Ba+aGM#@rgfUrV^X291^ph;CMjn(Z zR98;FN_LtSu3l7@spD?D!9_U}hVIUC=rWEY9GO3^_MHw<`Vd^C*(dfpW823_+ zoflHC&-io3ugO&Q()Q~;)?VI&RKeYe$+Y2W%g#b>{5FvJzs592rA#=uS4x+g-&NgKNvA3+olPEs0Tb`n#JzNE5_y)dc~T2|8r@@ ztC=_nVs6cNR+b+%Fx-){Z29mNmwaA(;^q^=8YJBcmh zmYbqkEgBLTTag2h>2Sp zH;7!!vGw))!d<>O*~wfSv>qM^l|P24=`cPxBblR&7>O_of~?=gcoJh|3ZA)>TB%-8 z{MKka-0sxT+jZT8=VZ(`L8Kil1ak=O?1psv8ftU=lnuWbQ)JBXP{iq9rj!!Lo$%zx zL%HUa&x)V*m1&N7MwZ2od)8PixoI0%zN+8DGOr$AB;l_YiuEe2X1N~YBu}CsWZhoP zvE0)|@Qy)7Y&_4l;7L>M1d(?8MT!YSWMeeAOfm6wR*<+8zl5(%Z2ip#k5YvWpyIZB zEK%jQwOR|a3-=Z`4(-#|N-3D=Q3zOw z_hGmug1Z9nfwR@m_g2)}-?2O1MIlr|-&m5l`U3W)Wd=W*zY;du4N%{4JBGtQU0|mE zqPfWK81tYd7+oG8Wy_*_HR!mC)7sl}oSEdWh_~6GZPpv~^>7;Q^5Yq##41*tp|o@* z3{bN}5`W+jm!w~n+3rwnkColF@E_g(vSy~eFD=L4oZBdG(#!llgr>PEOE!HAuGT+b zr&1Vw{H+BVlZ#Tp9mT&CKY=N)4C2@_3!OtuH??|_w48;r1H-c9pP2ZoFPw6~zU9zh z@_DVGDSyfTk7U5qi#Sf}(qf&4fzTo=w;A});)|0r17g;z&m1SUj6YRB$sW4@`$(1d zmEx_6&rjTS%*1`6n6^iH;MXCC5`X1}=p$&Z?tAaVbTLztHES9@+D*kqs@bX^35Dm! zQ+JJGx&Mg?7f`YMGN*QXjMs!q2^T~Vh2Oj}?2MCMRhc1QMNn1y50F8_n#$dNHiNn6 z)8P!uulqWIG5kw5oHe)nPh}@~5pVN$6Vn~9j1_Res zK>)7?55mInE0uOOT|1p0Ttd0zAhDQ|*@fG*@bXBAr-n|*LM}2s%a9Hi0so7IoL4e9 zfEWMz@%|(G?G=wl_k_WD(2GEdncP6BWAdhMz>k!jOAhi3X{(V&{nwJcP)?WH7g>)_ zZ-}HncjI{_O@^e3#P2;ys97TP`CrSBoY80ri^U&yBx& zuxiN=w4VH?yxgLG1$WJ*N<{`r_Q+voXaO!O@a`eo3-YtrUtToH%a33PZHns>zDD}I zM+^Y{#A;*^S;m_+a1K@0a%2o$^BqW5=8rEwPjYRUej$oH2!|{N;ZpLa#-lF0@+cj-9qC9rW_g+H*Lvs(M4x!u z$s>F7$*_HS@&SO2NA<(y&S6-8Q2#z=Yud0}RtUJ90ns%0ckg!1K#QTFtt-&b&_@FY zRiPMw$cUi}z{zJ4NS;VvBLS^Ne>PR*96A3SI!rRgr1_D(<%Z?0Qv02>t_=$@Iw_Zc z;;22F>QmMi2xF(!-QBzxyi4q)QJDFG=r2(FkWlQOCxH+#nlnnCLAfHo3Z(P}F4ssy zI|x8-4~YHLkm_FkmCv8PH{L+BZeIiTZ$pA;$IR%XStYf2gXEXbAvYO%H&giNxHc$v z&f5!HHLXx(k3cPTLFCuuZVeS##`Hl@8_N5a{&I}lg!hs)HVIDWExN?HvL;}JnVtIB zN^97Imt+J6Jd7kZSp+7NUYROALPC%H*0W2V?nI7`ScV%@-bEJe!plyF`h0Cvu}ez= zY*TH*QAtRaRoq`+3`yF^U_YAH{|!}Wk%T%h*F=oG5@aRTo2-K-TmmZ)0?Q$k&4wLC z@MCS)YoO}ml{8FxT@qy0s-`bJZ2vh4ElyuiEEAt4M>`Q6m;6J0;*9kXKCkV-Q2rkK z;dq2w3qAxPD(LaG(LT1`_b!UFW4B+ z29f-IgZhMWZ27}p)u@7WS8V9fYkmm%i6J{IO|bL5 z?EPBsDuP7@qfg(O@TY=^ZW5$Ozn%zu-x;7v&{;l(_AW^IT5N@j1Z^g3mN!s`OjFyzostk{&{}X^b;w&aLGjv@9f<{&_8yC)qK+aPMQV=l3zwKAWHz;X>tGR|(YHq8y2k zz!6u%hq9{J`&BoX!LKh*j6~<=ij|y9>&U0~SvpCp)vM&ddPVkU5G!Q|yu@kd;pxy` zi&ztJlyo7psEErUdwl#DjV+n@rF{Y`%Z2AGQVFimCoDzyoO+}d+o0c*lU&Y$?!f+d z@0a)f_U_wn**3HoE{A!Y%VCup4pe!)k)KK%DY42$L9sW!ZBR>m&*7T2KehUIXAK8I zh@_xhsfv+-KZP$23t|Gjv^xw)dr9dF;_u5xqsfc#)axx zM>&{$&XER>hK(taZr*+w^Rfsp-ou^`A>zsD~tEh$kV?{};vPs?W zo`M(I$ATvm3B8h z_OY8@J=*kwNn};|7x?(IqD|+{(r)EUv3sRu;1~0kn3%0zto&PdopX9G9mmf*Lj1x6 z))O~=y3M*XXgU$kJG1Qh-Ks#*3YtVb0XIE5 zXSnB8y7anw-#e#AWwri4lf!xfTa;&XncvB>bGRF%9Kf-*51qpw>S5zpf985Tu76-R z#+`oxI{!Q}xxK@@s*N;3{YoGFI%4fqr*4uB>pyMU_3ZC|MItx1ycNOEdT(y}0Cu0s zOVp>8jsN7|9_=vOct6B-QrC+!EgP$8)2yCKiL)p3d8!FV9X4vcZR)u*At%xxsr}lS z9URMD6u!q_DIM3uGfo8Cv&*({j+I;i!$WlQbmI(ce`e54o%{ai%7lIBG1TCl|9<^f zbH!gnJFkt~50~Dh>eaH$M?VwM7nRXZO#^wMzi}yy5yk5cf17_8_Wj8sIquOGwKU@n z`|PE!)HCIomUZvcIr%Pz&e)bFwsL1ks_!n$;4oAmI}d9Vc|8 z$-4Qg$Ku32vvj{PwoXdFUJ5=k<@&aG_L`7WD@_~WcK@cr*K$#I5T1MNqq5Hn8n_HvrVZK0@+K#*zBZUjPO=hm8O@V3&uw>3mXeOifnru-VA?eud zKz6%K|Ni?Tt(K#iZzN((l&%}M6}~m7QeVdHbH&AXtib^Am1FE3d+yZf%VLEKbvue< zdJAEiKXm7X*{@P(KfEd9uz2u%H*q{!fJSzDC$s<)LC&Y;KK``k={v4U_?6n3bLVGJ zs&D#wvx-)qiM36V2eSH#>H&9zzzsiMQ;X#s(DjA6x<6lj{8ydi(YAB1#p!p0MAzC} z-FvuG8JlIJm&GvD8hnF(Hpq8fta^yNb-&ZBLfhcAzdv_ela1?|ZfQv}xsYG7{>(~m z=2gP6+6IbUbORwd+h_WU2?){L4SC~w3xyZ5eq#U1Ck|Y)I;z^rLrZP)xT2I0 z77K~A@hW+>5A`pnPd`p(o(ez-F;t8LIPHP}B)^irxg8q@L55}G)A z1(@}2y&Gn%9k>y)~e5NN zICc6|ye59@UZ@=7Z?IHNwlvbR_5`BqO(u$mJfCD#-QN8Jo_Z7;Bx$P6gC0QR=!OS>wRSaQ$T{i}( zZ5-E#eS0Pd9nj2BMm33b*(<;uP;VCrvSoQCM#SNsqes23-yZ6iCGLHCBXqy&yMxI{ zKuilic&1%gPvUCFhX+|C6~FEC9p|$}$Tc4^kV(;6Epn(54VfG)1U`(vu2bguTpe70 zyQE79DG_m2$R=17ri;mTAZUu}O-2~_S(9M)0x z3uIIf)GyX`GniT2x$MtlUy9idYKMf;FM7nr-eLvcY8qkjWg|PU&96)`7ZNkpuub(m zxS|}|YKxoezNMTcYsj@c5K5l>?rQtU47))8;8(;d# zvIe|l0R}I=u6f+0^26$Oat|`@(^*Jmhw{gL(?-F_zTCe;r^M{{3gJ7e1eIfYMIn#b5Hh8ZZB7?QO~vG^y_cNc?wb)S+Z9jC2aE_nq@@$|WQw=)5gk zK4UuyS)90lXopNVmcSx4ahV z9%yFZAO}r@Xd_8{qTqtnZUwAYuyVJdKD*66-h-aBQW=IewO@yi<>Cqk+J%6zkbENB zCy~5Brcn92&#_Jnzr10Tvg;lV7odiP z!b&8GdPM`;)-e$si)hm?O~k9KXfa!PkVr-@dTG6&@B; z+m)ahctoQ?38m@Tgz8Tku}~f198at6+L*jkek9ao98bd-Pq6-ge()m$+Ol`rflGwJ zp}UOME}KR&V^nCr4fCC3BV0c4DYoc3pwzdIN<9(2lrDPqEXk0YD$N082Yra7AGdZs zwgbvKA!Dy%;Q;H}9_rdxY-%eqcn@bYCbWb-FR2R6G|09c0;oEWLL$4JJ2T_OS|B3y?28+#8-(J;XXIkpk5|4stAEdPsPV z{Qyuv@E}q5!bL!g_FE3Q|B!jI%Vn?chVNUYmXO2QzrNKzuT)zXU#3cxn?mVo-y|GW z%NznJ6q`Ht8YJSRyhUIjE}X7%1-(1sBmU32Vemb939klB`Ydx|(fka`RS*hz(BgyX z!LoO7D=%tVwPTEAX}^&T+(^pX5fcQ-wiyl1##G_Y3?q3CU}BV6a+>lrzUAK(`~aa; z`aDURi+%_|Wf)c>3{vr)V>yDHp8{%dG}k19(n65B%?zp_chHt5QSCt|{=yRGlIjM^ z73pV0+oBm8jw}bf9-#R~@8$A-pxtP}-6dRJu3!qng)<7zq4o`Fg9Xi|mP?}T8~mvl ziaX?1SuVQ!pYFN6;ls9u^B@FkEJDd_BjG|9mW){ogsv_e5S=}mzv5?MVmNLNSh>9eFjs1Z0VPuE!B6SMM-3Wv^H`XiZ?lWA4+yRWh|4g z7g+wGxVxTTuheQC@OsP;dfhkFiN1@~)EGszG4So!MTW&q*b|Qt`|^RVUhV% z%7KsXc9_CfL%LJN(G!N(SwfvH4X@b=tZ%p=SI@%Vv;@9Sm=fl0?7Ldc_-YJc+f zPyWF7bT%Ri6DYq3jfdToVN`@f{5)vZp*PERwXran>V^DI97^=3b-cvaz% zjM0c$rej@j{ZNWh4`W{Mz zHr>BmdIG5bTR5W)p$arc#~1dX>gxByTUvzMVc~;pOH~5f!i^=U)#EU2beO}HF1E;d z()|d(N2cI{G96Sv-99lu=>#fSG#6UaBqMOT)Vxo!>xG?g?mql76}u6XcJbZo$r?gz=@<&ntb zthq2{7{hF=n0xTGf}*=d+Cn7=mkZ=IOP1P}+e)hN1%c_8-};(H)%VCU3bW?EMCs7K z*CwGM0fI;s+fA3`z0JY)yIwM`K0GSf-w;%z<0bD8VIr@!zcT7i(fi@G*mm+~Ef$O}R>&fLAyAb~$P7nsABHDhldFER zR<|1iG&>i0U}aZI|NaL4utEWF;kn)dMh}IB2Q#uacV}f9{ZCaFV7>F4K!N_Gzs`v7~M+H;6G1u*SQ$V0OsqEO}#d%qDfqiHff&Zd8pKBXIqa|gxNOQb} zCz@7zsU281J9fFQ;+VWvTlYvW*Vvk}HhDXSn}KHV9Fc%8#)L0e$s!sw?%0}pz;EriV`U)8q?mW4-S?t_hh*(kc@-dp*Y zS3K$}yR5Q3z7r=Iu_z)Jdz*&0JDJ3pWo3+UYqDd7izj>TMpIrecK3`2a)UZC$6t}z zE_T8(0NSswF9zo(>P6FvLs14#NJqM`@a47`>>UOfRUWZ?gTWiIg?YGM13D?V9av>S z-wtXBgdbkKjb!6aL%r63Ec<+JNl{tM9rP%6Dgb`d-7U8ss?1vaaOD1HvDr);GrX3! z)4qiYLTL?^{|5rC8Nc{m*bOru=6|z4nO~6}NC7Q5V|XlN{$rdBo6Ormt7i$T{YT(n z+E6G~RpSkhs?k9K?QyK)i{qBT=DXye8eMG$WN0Q-ng1>^wxscyXmthusi6EX3DNZ9 zUN@uRi{aMyD-l4q~xxfIopT>VSo?yo=$atM|cYOiQNOr zGI9Z{30QlIZ`RgJgfQJo3pr6Rj1nYhT2=?eEw%xBza3LMaDjU#&&i#+ec5=qf`k3P zqda^Zkn)m!f?&P2;+?R8%xrfBZAl`>ft*f=^DZT^D+Q?+xkA3f4lC@oVDh@}Sa1Hp z`(|rh9!9Sd74(BoonG&-bE6x=ck(z=?66y#?an}mRKsr?cR1oNG#Oou3~BkgOg&Dl z;HTF_GFG9QPG!K6ttgQ8SIQx!$Z>iA|Lq=Eevi}zLdOBBTW9tjLp+48ld!HkA^or* zPjR7nz?9`>$t%OMpbG~eR-PRihO1%A)qa}9zv@Ox9$-Bfyi@7b7!A1T8U9hbimJH9 zu}MD4@JmdyN8crSFkVCe(OJu~460+ZYat<7Gr zOhkqHk1zrZ5oJWT&_J)@63j;M=*R#zsmte~;@p`lXqJ$vP8w9VrR`98D)xZfdFkXO z5Z4r&Ke3hNSk2_I$CEnqs2hX}SBvNHY74lzfG097iFbMbt!yTK zAcdGIk9>LW{>4&OR$%5QIHTpt=ud%8LGg^A>})a*MOa3!Mec6;vgt*xf6ub>%AUKB z^z3F{+}+8(=Z(uJOiw{glL5sQ#}I5tEXihHHE^j3O08JH4^oOi0lPs*WMVDa%?-tY z0!0wgmeK?17iG{;_I)cne^YOVx%Ua>$lutbee)$~a6bN72L}NaimBYj3o<5uHXSbl zX=Mx?2~Zf^gNlY%qA64Y#d0!s^et^|AJu_-iQ+>c38{w*fI#CJ_{d54?#hbcoMTYLKBlva80OAq04u`q;^5==6Gv-! zx1oqwN*9U;6=p{VJc?j+9~E=J?f^)gLZU`%QW_XLxd3-&Pb`E8`|)r2V*!QrMM8lr zXdAltLvu=nhV4NLuNwyhKBZxFF($Uk5STV%Gi|#apYH&pD9v`_o0dlhj8{?)fM-$+ zRwW>37{JC*Q2RhlW>4umN*E1zKLETP0Nf7%pM^HypBw{pLtzbodoluQ*YzF$^aEbI z$Ma=Cb(d%rR-xl?$)(Gl!N{|w$Aa{$GFI)!T86Lm!vVeGzfdCQf3+` zIr9Z(QV2uCy$tZ8y%xg25UdF7$v~E8#teY7e>6L*5FLB6H#%;GMog$9f!8{5Cs+1h zV;2d)LPsHTPk`ffT7g?Q=bC341Giqv3YBBz9Rb!-n0!xyKm-FA57+}hs3p{lG{Doa znGPr&F^wZfL@($4&Wyy`s%B2ame2PIxX~&HG2}BDWEy1+i-Ft8$IQ< zf^CtTRPa~%G60y26&PR7*vJ-4_E^qd!E%?vDv{ni_TmYAN5uChtjf zcpD&2A)g~DNB%+Z=Rxf!Qu|jx=Zq<(oi=9mGPF7Rw9*e<%@8F}Osu(hPQ5R&ej|D9 zh%N&`#oPcsdp48nGc(p8IT7onK_^1tOkm9bj+bqYkM`Y8tfkN~V|Rb>gyf8AlHVD* zbZ?+k8KV~@dmX4K%~vA_h-a44Bb928yFbQv7NFklhrZ^Id?OrSRmj`a&7HApS zdqMn)Y-I!=Z26E&+V?THw#@0GWsE@DLeD&?dlD0hAO}Pp79uwIDeQ0qKuWKtY?=QJwhYi#MhgEMy!}|Y#8Y*d z{u9=>>t;69XFzcCY@|?CMc(X}>>bSx#v=EOuM&v>AHLS;Fg92*8D!&Nyg82?=)$&C zXJED{Rli^~B3XuO7Ke$Z<>HGp5sg49y`aDsfs{f=u69Yy{kl6xWd{zSb_h<)LE>{! zL>oWgDutjbAp*uqmsU}u1td{bkz}-+(Qz5h9vD9UyHQ(vK-8rERyHoXRt=m`@4yB+ zq(Ruc4X9dP5$#Pj%zNd5djf#OsjXvxom7GgE1*yBnp)?@P}ja?8#6KjGw#z*t>oSl zc%$L2JW)EwdGujyQXJ#mD4l}!3sQ#d?!T?ujtyj7(WaAW=zQZ%{-lQQAfOfbg&-Zo z^lZpv2iXtJ2EwsjsPI_Iv0~St9rYKW+U+5EIE94x?vgH{qszwdqkkoc$YQA7CZGy< z>JRKJ!A?}@$giKZYjsBNZ^7NJB;9?CBYB$g45-pEz8| z$SC^p==Bwp$%T_21BiDF#Wo~mAb@OAgj7^OuFk@LP?Dg?!yHHiL!y}XERORuQ%RlVp9?xKx~hhXdhKzjgCk8vRalU@vI&w&ri zWTb)*LaqQWIRWev0@sesN`j0=$n8(=jMT@w!1~u((Ti%GDF-qBscW-7$wv)eW|3_6 z|1p@y?rP56`UVh4V}DqzJ^K@TpQ&aZ`+ZkIbHyTWWi(?p`J&F-=mud=zI=DV(0v0H zd;%qLu0qv-n}+(GUVH$>M|P6>p#y+6$No8Ds2SL9lWG#Z$Mz>3N{auHY`OrKW&SH9 znRLVw_IR65%)1Ha#Hj6AXmW13&rA*e1*8U~*SYU`g5CwF4Me7h5GpN`G6`+=G0jL#yVGxvH zynBq&K@3*G{Mia^uy-1CylH{=CK2~N9}}K8^&shLnH(jmqlf|#sJLp0R<;;0k`P0& z;(fn?ji$e#y-5FTvAS=6%mb{&&H#bw^l=DtRNiuF{0t5Ryu;CMEGvlX{Gvxk|A|R;|iPqA->?u0`XpCC3W~H0gy_8ac?P>sos;`Aieqv;Fpertpf*E z$VioSjFDA$@t6UD1RWTMChTMMT>lsQ8O%po2Oi$!6#$e6K-7zYM?Vzt6}NaVZTH_g z2=XWT#8P4*MKjMEcVY0Inc(eBbD0toWB;2L_^n}Fh;euwQDJF+aq}+mqZivkAHR3A z@}J(PE+xl4nv%z5lZwKKn;rMkS2)(yAh^%3r5#^Bq4D z9No{oQ_Y(kcLax!#xiav{WhmKgX!)IQFCUb+=t6^VL{?4R+lk?exn&1gc@0D(gl=m zG=pAjGBL9MgR9t#LE4qoYk20ILLFi)&O7jGq~>WhNRCJ))Xf>E8*fVQSN7=)rFcle z;=7*Y5M`&2S73N8r5qdU(yD`CxKjQHztrScm1AK&z&(bA0f=C%(qQ*(X6^LA?2{Fu z#L@uI8j{uW2k3gfUE@XTh6~)t7-fX$w(k658;K_eb+b%y9rCn$S9GTEmq`E6(SN9+ zywB%YF`K$u(ogx*sc-DGAi4a^4K3}+gSG{aN0ycBjaP9&6T0TFa0BTbZw=G?R*|(V z_t7DA%Q5u6eig4OHU+D8=5DIlxGkUN<%&{- zHNT*Hi$~EX%FmXN3I7K7k+tjhYHZxqqJ2i{AKZgHMh?%vOQJ~7^t1&-{bXPY-Jm z%}(AnSMIa?H{=aU*#TzIlO-OAOE$k zG1y3k(I8bClyC@X6Q=EUJGydrz}eo-m$JPB3orIn_H9|YUpks759aEpZXF-lH%8Ib z$Nq_WM#ElVg1HU@W*cn2*t(>otbJd&I<4{$iWKGRG7FzowoJBwfmbOE@!j}N)Do*f zRGm%h?uF?4mBwrG$DY>9VcB~ruRQ&nWWi2QKh?N0a<}-2Jyr8>UigFEghhXR>Ta>)PSyVu zI2(@4)7ZbSEC)NEehfW-3e0Fw2oGT56*Fbj|iJ$Na~U z3!#6$Cg&{9SW4OQCBX6>Il+abMyA_E+AB$&hDjaGEtZJ}+yqJ~SzovR#mYD@FT6*% zPp0Jl8%iR>L-D0Gy$l2#n|L=(WHT)OmZcnWt36RPY)~95)}AxUPOeH%WNhds;5z2ru+Gh0)2HU^kIDCNnGRYNzq?amXN`6LBj8oVWoOrDWOy{3 zefVYDJ7ymJ;0~q;GnccL>4#K}GMFHKH12o2>kl*dacsOa)NfJj(>&qj0Xd6**taOr z8DSmc%rd`~<#9FI%4vGh-~a2?MR`07lfUM;D;v$#1lrR}Txl$=3iI6LA9(sxswQ%M zX#5x9E;o)h-EBzGIv<7W+~V{!-2N=%X=wak?wnQYAe*H;cdczr-?GbzT}g>L)pg?Z zzZT4DPx_38`dS+7Rul;i|H`h`n=|?|*${GPs61`7{9|&*FFK^4DWYkiTgITN@29Ox z-Ni}NwEvFduII<(F1J_FaSdFf^*;CCD6s}jTOM#cx7>%dsW`v*)F#e*Jft9~IG`f2 z==H=vft>iC`9&6y)s!C2%aOK`DbSnPmv9OyBfrN2wU%Tk?#O9(q`t$x1DaKaC z(>O0!%)U%It5fM{mddE-r4mAgQA6#?|NS5L_02f)fcu<&f3B|PvXOYK^=_@X-;$-d zW=K{SEqRW59R4z;Q>0(l68fXsqd&;X=?P!vYA4eP-DdV07nr@pc__A7rl5CyvvF2M{O;T|w;MnLHc5fAeTFJZXIH`>p7_DYTr@ zuFB%o$}52O!Ds(@so6!h5vlqwKW-%l)HR`PjvfszHJ&du?Y`Q=WoOct@%DW zGsZN{@M3Xim6_6MkYCcky^<>Zt6=-pws*fKEgukIW5n_gN;(GQ!MKaZ(^cO~o_j;x zVk~0L@lKSVw=KZz1lCSTSGRZ8v9w?QvyMjw1+c;K_yyDpsp!_NeCCmDys9%kD1Qy|ZjX{j{my-(I~>bAmqZ@OK5y z!PN-wW4KEFinRBK)0~FWYkPgmf4`0Wbr$ONWH$(Fa+zp z==AP5u+@(Vd^g8!v%q7Q6{d;Cw(I+m|np~fqe62zhXwvwA>(RW@{VMz87 ziyN+U_5XOf&ZwrIr!7*204gG(|7;+=NiR`pB1)0oo77N3FM%Kep@WL_Ktx0k2t}k5 zS|BJL=?EdzAT^ZG%Nze6-f#EZbMD?fJG1l5JUcVXeP&spt{Xl`1qI88tBU-+re9$) zBkJ&j$Q99 zymdh1Z6S2JgIJCb?XRjmuh)YKbv$1JhQq4%-8-a7g#p(N!{e%ZI=V?C=6+&YP&PA( za$ON)hZiU8Vjse&ld=nn>RX3+*ZiAS8@2jx{T2oTY2`DT^e=D)4wc$bafE%&0pn@6~{7Sz^~6QBeujopqE`|Jom zm99Az?*7d}3jZC@kmPOM>ni`He580;4ieB*EJ|WvMW0L6B-EqSlceM=Irvqf*DrmX zS`*G6nQN@t^jn5cQHa&{_WEovd75CB%!q#j^lf-(L3Agb?dI@y>6B5I(u=lX`4L*e zzWb=5PQuCegNKIczDINi4leSato9Ml*G?n@yuaM90EqA~!RUG;(=_*#5LAi4%w7M&SIYU|ReH|ErO`4Ilf zySISmy_CUFH6;_g(~SAnKhNqMk!~k9I{ba8M+@8#QQbl)d)}l({qhDOZi>1(e6+R4^ygna9Al<6T4jrmIR z3$BvUG+g|Ll>`Ovk?F!GxM>`Mt;*(cLOF}(*ttE8&E=OvCsJR=c%rLyW;jNU`KEK4 z!r*bC9JB8|JLUm1?>w=v@rFBRyI|4?8cae2Q=}?Pu(>UN95f;doQ;B>L#YkAgQ?G6 zE-29x?(9OOXZ8XSLPs-3R!W?UH{Xu>zd)SEI?K*{1r;1WTA?{2<9J+a!j39rc9G}7 zz=7AmJ+Gf;;OZWsY3Zon2$JOj(+r2@A|OghmcV@=(_QuF?H&bGFK&-wW_&)q<(JBQ z2<_$dBR=_Rmb09_F3v4f+E3>&&w0^q@*tWnOdlI6Zj_t#nIYrHo&ENeFkzK}N;NVg z%GsU^e{Xur9lrG%w(;~u)Y!gsfOziP9ZUgLZ#T}Cl?Z9C&Ok#vKg5La-6gdf zoHQ*oh(Ay4$nNpZ`zqQMUa6)+4ehRmqqkIz%n?Zy9mn(v)7vCvD&KN@o24?A%cH>r z>-OVxIo?5kQshk`iSFQnH4}?i&1*V~5c@B}Ltv(=@ zV?aY}&{l!wUhifMEpJ1qSuOhTATeCD6H?2}F7o{-SN=9>6=6TTC9CrpGZkn>>4bxj z(G`r819N}B<0diC_|+nxNx8Zt$SvRgE^4##w{MC=!&qXgPg~8LczPbr_0Wltv6|hrazTlX=WlQ%oskx6-u|ZBz=0} z7*aZ^Wq@e%uUzL~YD7v;VH?yCDf4AvoTq^Z|CjnpXWv7|&krRRiw@*QOzYsfNv>1M zpCFamTuMj@ua*z!#o%iMZaLjLZ&8Pe^ZBrqC!~}|p2BGCvE#84Gl64bT-JxZn*a+H zr0wh-w2_ykng3K(k)c!(H3LBm{&MaQ)b>EoR@y}F-SBX{O!htG^zm#9<`}@-@yg}& z-!<@JWdHI~*JoWJiPD_bW{B2`i{R=VSv~$MxS2Eb+JL_t^GLeDz}R%ovIgsb;?bV( ze=E&eTt9Blh8kJk6L98`80;QS^;wLY8ImHvU`iO02Tvo@T373YdGg-cKjEotcbJ{_ zuo|)Ys(OuQN2;P&WeM1OTqO`|=1?im9)ciWlOr~JuJ>exvL0LbPl6TAcL>sQvcxIg zH6MgOs>J!;E$!^I1(o76#M6YlOFwVVX9?x}-PXraEdm#TcuGh)ey$54ebMU>cmOM|an&259Dg9xxVy^i&a?z#1b>!{CIZ|T{~m^6riY&pH~^*$r<4Qa~_ zMqd=&TPd>)NtIi_SUKYd7IhGm`^}C6v=Gj%c|kDaV^{L0H0@$-r4+KVNp+Esf%u)K zxp{dmIaxD=5?q!wd#{6Yg}kaUyz|x~;Db?G+InN}s9A>4O7jA-xK?9OA!Kj6Q6Ow}6aJD0Lh-PZ37dppQJ?Ps;P zAcuU1RD>80qhmVFj$7%RH&Z8D~YC|@(yD4RHO(*6t(9h zTA6txpmBRZ^G6phVt2grx>`QVv9K(zC{bVVdE@?FLmf-*LNE|=U`xl;$P43ubejzV zXV~Nv2Ph#p)q<_kKPfvj2N+1+>9dahh6{7gW?|&Sr|Qly3`1zqOy;q~!pe0TtN@a< zQk!$tnKKQ)p}gYF0v=BvOjKBS5c@YyAAI9H_ z4kUT(`d-OC?3_cIi4)YfQiNFuc{dkTMP;*l9-Q=E@vcS7526D=%Z}bHUy>cyUR~-S ziW&kTOa!-tYF`J2fo$-~yxs-cJ#-TN)$3_Eidb=K`eNW?7*kOGOCMYSO zf%&4p;n-ZIRd}FaXxKT6l;{!fn;HZANGTZax?mZN(TOdX=oA>x#xq0(ctyz+fcQkZ z@f?@X*k4bH&I5(AGlcDkJ(=c#rw^6KxxtB_lN}d)d5FY<_3NRO1F9(Ll*IS;jan4Jz9Rdb>6fn?2fX-@0?!3y--n%$LB5)F2J0Rsd_^BjgYG! zy+>q4lR}fG)w=5IjqsMRw2l7YZASTZZrO9lc#UgMlH{=&U)0IL6DIw>1}&>U@gT(B zp~0xLKT_GkH+%2V4ljI1d1C{E_f4%-c{g2=e&y$BqbO=Lb+W)I5?qaT=-4e&;g*PfXm zFW1x=)baATnRon+g1zNBk*_GPeX0w=-=5$No2t*3uX~R0dTVglPAiv!39d`p10tB? z2uujCNOXhiW@AT1lFWcJq$z4f60tdlsQDVkGEglc>!&NZyaxd9-jH)vmlp4qN=~{? zxTuv&ut-`A$+?A;l^q#QX=#5P9$)V)PsXpoiKQh$_|jJ%C}=;el&>GijKx15r`I0shW zjP)a($@qKQK%y;uygl6us%l7FOq~e3vU1ilAQGE0-e$Chrh~eS`wX55iQIdV_+zH> zL+2Z_`1c}-Upz0K7|OP9TNIQEPaa=Ier2U;KbN_=-I@F$i7g0qebeVZJ9Wmqo5=Vk zYDK#=7dU1TR2y((X)1`}!9% z1`_Y3Vf+6ALV4lwO}&x;gFb#tDsZT9m3}!d(~G9B_N0MAB}%KXaP=_q^Gjl|8{!KV zGXyVRymi({xhL5d?FuoW=pz+GD=pBE`2O8_GM+!_=H`{TuJLo;{R$1^>GRIao5sLSlhTui|{=F?ChQ$a(SOvbtY| zxo8^2sx>jpoqMmn;60aofb$F@4EU92&AAYwnbo!%k*QJ}vTCpzcaC?w#r@$@xBa)W z;eqC`hrnz2AA7E5s#HNikL}ta1^z!4Y4?zp_J)Y)4nB!(rmx8j8v3LmvI^FPv4=)U zXiB9eXsBDUdfwcNyCT2xK)8lO-xw&1xdWMv9&vG+0noOO6)?xOy&yV-UO8hrEmMPL z4z`89uPu&>bi&1;LyECJi%vKO6*F5Hz&8m-D=rotD&vbnGs|gtOM9+7AU;vzU7V`V za+xjVK^-&$V2MJPB&M`7;C4*IR;i~Og89IyoKzXIN`H}*)3EaXxI-?S&UbTb{8#*r zxOUDlrO8LiAeSH5>m~WI$0`H+R(MgTR}`Qvad_W{O9Mm+zsB=N&wKXx0tLrd>MSn$ z_4*Ef?rlWimM!y|G*(}A5)jMncVEn$3^=0;-07XseHT0;{g&0uTT;3{BAzpS()@Y; zwki~R`Z}BUBoDSH*Q8v)NAmo&*#1zKvz9icIaShDKxqdFu)W5h8LM+D zPvdGejA|AUMj_NAH*hA!mU9-1_UgJvf1~YWpR-{o7Mt+XjGU)?iSqK7%y^;6Qm)T} zq*W-a?ooy8brx8Qla6=Hj_b5k zu2cIlz!o=k^}ZMdnHH*Prn_l$l_!at(`(=*WS1hYkM9Ie`8! zg;WJToVl!S+2zJcWA)6#RFK^(i9LR$RYoKKvRR7nGQVdd&Sl^QLE~d)#(jETea3@E zim5JY*dwKb=?B6iUoS2gq*{w`JFA-Bx_#Sinn!;WqHNlmIkB>xGbo3Z-Lk9&1%!yU z6!OZ1?44YA;&o5Hp3j8LKn(HyDM?f_bl z)T5PWe?nBfVjdn0F@&r-0BPC3b!4tjj(1)sn?B?#xJrHw4%8=@7uBR1cL}4z^8?Zk|DL!#}`-Un|r_EC-NE{O;Y!drxHpU^k zij*?c()KswN6Y4>w%3v#tR&3S=lB~>5%-d-{i?S#_IfBi{G|%3-3;uh#gH(&s&dMg z(;M-;bsgpoZHys3psIB8zfm`Qafgz-zJ*GT{;N?)o2Nj(}niXH0`ykJLN4Mrk zJ65=|ot|IW?Y}%i+`Aq(ptHZedmd*+=a;{Co}yjP2Y=b|)isZe&bv_@HTBNz;dGAG z<~x%=OJl--nw0s4%ndvNZa``n&iM}q7<1Dm-xlV3TuW^&u#}T${9Iy`AM(3cGNJI` zEzk7H?v*1RRO<_HNa3dONF9&M%feF5#bggqeakSPQ1a$giHY2NfxB($SKdqPS#N0C zmY*{&8c$PNyPsRzH!-A2WUl528n_QO^wfXB7BCko%<|-b{z9itQNZk95A((j1NBdU zb3f)9jBWl?zf#m)mIMy;y!$v7#2=x7085^8xKT~jf%4Wd<@^&EF~6M>ar7ZpSUv4;V=9d;YRi@k_XM@r?Zhu*G#TrzvX?WZFw2QWGY`T zXB-l^pb?XXr4dTvD9Rh|3BJ^xoFEtK?54Q)+zkq}qg{*$yE5B8<0|1Nw9b-nqK0?u zjbx8MPS^7Hph>?_4J6ihy<=TG6gOmEdmv~q|7nM1qqKf>ZMbi7l?wJ8J+1r5bHxJh z8=Z!|Bb4Njxo)*M^Xox6lf66O7U}xjf+zo>W2)-XIA0{u4e^&^plGvm$m zo%+b@PPb>4xlMcM)FR9mG}(pGXzRBOF3z$qOlsJLP`;9VJ!I=?IVL5t&YkJiadtf; zK^#PP(I3hLGFr^)CDPRzv5-(be}Tk6ibBu}|Is8F|T zK?25nkHcwN#v+b6`j{cX48Etbd)GEnz8y9iRb&aR!|D6H>{^)jXfu(7-LuS^5d|_? z3%)94(;oS~gnqATusG~R;9160>w-y@Xfz!V)B&rb+;hxapW@qWQHcsX%{itAU));@ zd)ttZHMm$*U-9QnusKMnjgQo6C(LSrzH{GZ|JTW#k2>v;$i*_bV`R&l^SiI1M7x>i zwC&EepM9=>w&gp=({kSu`Q(ynz^nIz{mepN?4ajTDim0U5Nx5Y8WiY+L?dEzL^KY}}6jGFg`56ZYd;Ztq!rXl7nP zFI6WvlpE(1evry+l3Q`Bfo7(3grDzp4&3W)AV3 z{eHDt;(KZ59x^Upql@ya_+in=Oo6_4^E=jzhAQ;{*RDe8DQml$;}|=DnSIR^_*J_? zm+_oM_#pqO$;H(ZjM0bX%?q(a*wK!FeSKogqwLM7_q`HRcSAV(JuM2dls~rB5sSU} zdFcJu{_sqBQJWyXFO1vZ6+E*I2(fXSR)N&eu9A);uNm-92?#1RFe`uWAS7de&W<>> z;M29{$HF(J`>qCg8!R<3f->OabbBc@O$|vh4_OG59QrY=bYuh`ouF83#E^|%%S{mu zh4RxQ;RDxm;qK)us#saq{-{vkSluO-Dh+SjKPs)S2Fd9g*gC4DGbufbn~spnGT zi}y&MWpB}NCn>#TzqIzwnrAu)3B+@3B<76N5{&`Nggjt5hIpH~_o_W$jGh(9gnuOI!%cZ(Dx_6AVm_j&zz#2?GI2#mUMXhEI(K zj@#AKW7vV^G3{8udgx6}U1e9)vORn;Xh`;L32npV-nD~0akI!@8bk-aZhV-yYn0^( zdo3?^@JYlk!56P=#-Xo%%gMBJXl1p&x}BajYjfW?F(zm3Mfj;m)j`iv+#$E)`hQcZ z3BOfurhHz--|IZny*B?kz6I)XGj*6*YCHV>b*b|fo3Yufclrm922o~xERvrWt< z@G9r#Ntm&+*h~M3PXmuucP|=#p6%jJCO8ys>-NWQcK;NriN?tj$afP*em4E4WbAPLbdEEY+im_JSqGTbdP;lcbHJXm`kY_Ec=#6$XUQUc z&o*sC*c11poL|yMMo-@F*xe$v=?Mj#2D*z?O~_`VZdfd?_)(}>+ZN~6=>uh^fijh& z^%Jt$N}2QWN7vKlYEJ625D}%cj$KDx72X~Us+;8m_BBPveXey+P7Djtp~S~ySB3#| z1Bk1UD6{+K?Ny&-#~S*s2Re<#I1r!H!cX9n{Mh(;8F9 zjNPOv&ssH|Yp3WXOA0hqe1mz=(ah+ajosDG^zHmwQCeN{7?*PCc*Oi8Kn_{8OwsK) zRA~IUAb`_DxtK{6-7DIQp$!n|73VrCdBjm{yk#^ru@)UXu3Y#ua6RTDE)jZNgZcEg zC6O+5ikTm@b%W#hJn79qgJGQptRK#vO8HwQDF)j4pk`kXk>*8?w}d0tx0WbStrrhE znrji_spZJC|Q;lFpT3ew%-5;vHJ9sZgf6+NarI z+~2G>lnEPWhZ_jlL>UL*Z;e6i$4*HlB0{>CYy}j@-z1YR{3>2^ovJ)jzQI4SdVWSh z%o9tq5_GzV+S4xKXzNxLC9^n(-9VYA+BsnM&}ajxl_o?DO{#=a5LJM#fZaD^6^)k< z3^^R=aO*vky|+{aI6Rg=>Oi>J9`#WJ{FAbHnNN2{Xfc9>CCv>LqQ!<3yfNM^I)CA{ zw$w(~E9GZ9GM;c{yt25`UAVRE^n^0NgdQN`KnrJd+qjdk3&@#VH`tE1$(z4-^z06E z1>Gn;phh$Q5L=k{9lM9)sM@v*!nV3HR$sw*3WC5j-`Pu?`&m!Ev-1pR43d?@PNc5Z ztTUV$ux(zlp*iLA>gS;AGJmsY@o-nwE0vEea&{*Z(zwS>qj%;!f7bDrC!m+!f3~QV zHdrxvn%?B^8T%nXiPIws#MJVvjHxv)k9URcutqQ_@+7CT2MkCN(Zp+tgxLcf)Jt=3 zrZQx&?A93-b=OgDj1b&UI)JvPVZ=D&Qmtiq)K}ftvmj(J$Rl( zC%25KH2ETeBk~>OXfP~5p5JZ(uCqd`-1tKCl8!ooa@$gc5C}@ zeJ0KPSGUGzC_szbQ*Gw7eM?MdU)qNJU$j@!s48=RbPj@}vC6agKc&hT#Kg&0WeafHAQlYP@$~B*f~)`6 ziKwd>f8aoeUZB=YLO+CETf*#Z*K^y~aBVzC)r8%LZ4v|C;D@PkACXGETf7W2bl|xh zJcZGNiUfmv@s0PKT6Sd^$)R|Ass~9by4hF&q50QP{gX!kw4?kL`gLjW2#wlMaxg3mB>f?3Ua^yvTY0rxkatCDC>1A@wZrh|m;0Tsr-WA35)_nCO&WMcq}4$UvmW z&D7Ap7}d0%8rj0_|GZF#usEf52p4q11(X89r0GO7IDDtrQ_iel!32y_y`Dx8UKuSS^5{X*YnJSiDEyC9PWz5|9adOrN|t;&XaXu zcb4wA?bBDqF%hEcc%SW$??xIp1c&K*fvubvaAsUNF+O;-{_-tzTj-auCU=|eL8FLc zx_!UF^^mth*_Ctl@c3E{*4c2s`A6|UH}nMW zlWt&O2N!XDKG4e9$^kvu<7W2m*Z%(VRg?X9DRkbwxVP)aZfTAu5c|?nAbNH-<~{+@ z@@Zo%(IDN@3 zl)s3;X>WP}e^h2l#C9EVkjklGvf_y|_e%MM^3HBbm*v_(N9@O2@zIj%p!DQT> zJOi#XuXODSu+|`Pa#sZ*AMLEX4oz@o^G(NortLEGEg$EEF->{nu&+wpS*>cmfRjXs z!C34op(gj%YZpgPrV8zww++<(>@QL*swN)T7wa~GqL)9gm#c$%gxP}i=8*g)==pE* zP`TGm0vK_W&rQrdr7znv8^1_w>i(4&`nBXoP1|jdD{uz!4G*i?oOvD?4H1)X%0v7l z@j8LW%2_&X`h0_6yZSXbeT#bH3o>sQedZQoA2cl$qM=^{LWTJCoE2@FfnjW5WJIL7 zM;-_1#S_5}UE(`d6HqjAI0)4P&fnwWL_ zuAubwnh736mwu^AuxYf#iD&F)T~vLNKkI*%+p>`3@5GcJ``zAy_3|Kb`j_4Kgg}lz zhpUdY+90U`4rZwVMkN=tiyERX`W9B`us|e4b9W`2n>SbfmOSu~w=VoA!1QO5mXB&d ze*k#aF$G*k^|bZ$;hqknYFTRz_J`#(R~dSHXze88VmVBc+q+uV9)z<)`3#sB4&N#5 z{<$XbbL(4c>JNbs%#Zpr=g&0utt0%m_F*H24lFB_-i37?A+n?<60hBhq$1g;$Q?J! z(*1oa5tIBR^uf?{kb>ksOMBp*adXPUd61#Nq1EAr8sm*t3~}O~aq47oH#v1a?Ttc- z3I2p!oY|&L%cbmH+J>SR`;+sB`XJjvciwSkh68T^Dcvpd_8nnW3v|~qu>(0*S(ki@ zJ?2ITnQ{n3td>0SvKRk6&hk0!F=!PK&QETsypP&X$mclxC~f!x()bw+-QMN4s{okz zC0TUkyQxKg2G0mGwIO2>H*xhwF8(EQ&dZ9JiaLDZ)vcKkqqxr=>aA)`&q%Md3k^|aBB@MYu7fJpJK1!?KYwwzxD zQej5IBiAGGPaTqRhp;ETFH;^=c($`Zl9ptn@PD;OB+TiMl-{pZ_%#iB)7zzcOA$26 zE0w2OC+e2SRqkYgx#yFE`N~4IAp83h1h#D67)MjnAWx3i3wgC+(?`9+73>YkyNfgQ zZb%MN5;nxQsh2G(oNwn-6Yog?h;2fSLWPIjnt3&L2YR-u)l~)rIUbA>*qB@$*`&A< zV10U;9NyIak+;J#Tz5kQb!?cz84SD`#(rh_C|OPDyVBxmpycBpKIJc^y~nJ@nwBIN%CSZe z;?NhMGSTDtt#a+2WN6D93(}o>6^E5a`V}`YTj?6#w!WgE=CK1TpLbdTYtyI@-7m#DF}`Z1cP$$XvnX4BiZ&-`g$YK+fsjo z(<>1;Ct2d?h<>Mk65rBOrc~f8`R*3xV5nc?`%Hx-uxO_t`v*EcVr>vt(p$z!9`5Fm zc!_r8wYoKRqO_sS=M#a^b-+Y%;aOk2QIT*zgnSK~Qol~ggqKK_@};Lg4r5wXFT(Lg2*L`Hx8o~%`@vYwyjUeenGmc z!u)SNeUjD~hO+e1j1J$J(66(fqNI=q^F+F_+PXDl^heV6rVx!%s`tNHiFRlfr}oVs9#JKu`Fi~TXQ zw66e8df|wCf2pq5K zo7O?Eltr&9-4XtQ6SGldyK;${b_o5veTKFsU{?kNjhuun)|8Vd92%_XA?)g zipAj@@{=O!({0x9H73sm_a}|`$+?BHT4Dk zfH@e)8w!RQ{@gTa5p^m(o5-be%t@Fkd09t%ucDlG_R!(b_5*9(dktGsc3FMWs6nSx zZyzIYP3qIh3+mxWJ24r?&%gH=|GFRYp}P`jLQK4DGY69%KY5^U`f78Z&;5|* zI6og#@ML0`L|(A}L85ygVcWs7sE@3F0;?T&Ix7lzi;7}G&^{J%B!#A1+bG>&k8c6o z%$^%|?AprQ`eA7hsaq`QcHL#4#~_bZ$~~b>=dL4hMX5mvcl+-eTviqA1L~Jf552U- zN3A1_mBQ>m-FYOl(cYh(HQDoY2SxAWGzvS3R|chT$-~%Ns4uuMnkBzomP5#tyunCy zdY0)J@PHyHdwrKdYVcmr!9apItCZz2_lC&lb)Ibjsb2e=v$!bXKzK$Q)IMM?Dm-^y z760<{=Y{*R4gzMflRawO{_we-;HCVlo;U083x5F_=aPW#N#pbWtF5=O z0Q=Uy1gXz3l(Gaazu_A*IBF)SS#?d~+?%Sx7hHwVHeE+1QG0oM!%600J-zkhT}!YB zq3N$~5U!qmB)u>$3%S{B-G*wsN>=06yr}%qG8#(Ky3iwB{?2Vb1-XFMmc^MICJybO z`bGDelFj~2no~ZGu@Wq5hvO{nt3fG89ae})yQWm&seCO@@-wq6mp2J@#4aHK7!*If&h0bV@2Xv6+zrm|N+*@ovBdD`X%ZgT_ zbS6Kw)mY=OCb0Cfh`If*h_SLF4rg;RJ7VPSWD#pfH>}`sYgCA~RPKt6KwpS)73N_W zCT>x@5^c0$cljp%h9R&n-ioMcxXR**McLDaa`5&@FM5uU4O#u`uH%4fqRD1ApyRjk z3*?$|8Nh@&M_~v<4(ayiy(pL5ucm#!UT!#o@toX-F%5An1Uq+Vsdf7W&zMxPjOSP{ zKAYgI=P^@~(N;vvH|wl75__AVZCrK&3@s zAPa}mQb}q|NWA%r=ASASUmpBI+E~M?#a11fVZ;G@NjXjD*9Q?_03+_ zTYq{`PBjNDqQwD^dXJj%ot%b)@a%;&ItLPCiI~2}3_FghRekzFNs=Olx1IIUA`}>C z0eT}#zg8CVLW!Y%vKo8UWE~LIN``)BBI29%<6gUeK)}PW)?7W^-M|PqsT8gL{Y=q8 z`3#oSxHYfG2r4Uou_;@;&U6^$-$!-;dg@@QRCNl=Z8w69%XHSu7q4!yIJa-(r`UAf zDM*kn;!&p<77ixr{$w%NYg>|CErNn$?;p9DnHmSc-s8II1%WEkF)M0CD)Wq zrr>_mvL0BI8#;h3!HAvp+rzcIQkE$&N%-z3|J|pY7-?bun4P%1b@VeSC7QX&{KX=3 zs{;FrnGu7jx)J9CA@MTbk`UXxdHelTiP1e1^O15)CHwa-X&z!z=IaO8cU|*OVoXKw znuiZ)Ezo1IeT`3OCJD>8^8$SSK%N!zV;bo3Ah4&}_)7>haqg+ZV72MV%WoUZkRlOf zEq{M(_BfvvPw%Y4$fOM#L;_D`9Qgqs;ZXU?_uUf@Zly0X|GcU!Kj<7(K7Y(JaBsd? zImIqK!8rw{@ZX?$L+(7gL;N*H2QzlM$7l1!T3eV)< z;_e9OMycV0S(f?xQe0nfI|xa_T~`mO2P>d}%f5c;XA|P^ljCVkcOy`Fng1USb-)@(g=S zp;r2h50QJhQs%W6EPA1pu>PZh|7;Xk$J;6);jK7u?$sx7roco9MR>7>Z)pE&1}EOI z7D7Bxn*7c4v5-xIOWfn3MOJDMedOiy-T@@*C`6gag$eur-^9-~zN3XCn>QSv` zb;2a(90LKfQ;V^NMC@>$^$H3NP)V59YAL%Q5`d`Ex+VFcDRYln;ytg_@Ow_BFVYydpZrjl zp|}2yKV{WvcaYF=pw#~;DZll-;X+TH%XndOszP{ z@)D9cnC9#wF_n5#5SKIty|pLb3}5z(k^ig#;8zfr#|%F5B#B&0dp!FheWyV71DT+| z52!mfT3_lAhNI7%tJ0iNRJTklrZHF3q11fdw^>quTFz~yOZqaM3IGLh1rf>TeUV^I zB_V9LZGBgT`5b{=r#nOn9wHQLd{xl{P*geU<`&Pf*$&|Eq=K_fF0&d%u^E4G@_8CS z3ivt5cia%C6%M}d2NSed;&*t+Y<`UkLp(D^|H@;=*)cck6|k?pe3>e4c$O?LHZ?&k z8#6gQloWpJbvY&c_7^OzQKs093SK?|sB=KGtBw_?wZXFLseGK|b{O_QGNCLVtk`F= z{y{F>N7;r6FC<XTT z$1lSgGTvm^22G4-6O#p?0=zyY5o;GTU1T7OapJEb{!D!IQA!whZsjY{VU8Ry-new8RzmDjv6)N`+u zp5?`X9{DO;Y0Sv#{}#u4PfqXf{Fd66$B6Nv8^GRjl_?SWh$rK@7%qo zww}Z~#Gd9mos&w|KK75Y#sz0yXt>j8bDMc3VW+;(8x)kWi~E7s~=cb3Sn zl#Y}vzc1ucp|zm-Zxlb$;^S+j-T0rBq>xc=(9ZXN&b~rdQ`0Bke8Fvz7wTTx`Llj) z>{Av60Xl|FQ@9eL$%@bBn`Ez?zm2q1Io)4xloAQ%)%o|PyKPL=tZL1lOj&!5f$#b9LSe=RfxSW-r{yk+oGG9Zto+q|Sd;QA@6VMeRWan%|H zL^(wdmIf)^q6IxAIpnOVKx)WdL&)uB^EOln&A<4>1FdEuTSZ1?`@PYBK|js!!0jg) zW?Wyx?ptYcsf{_=jxih|7CWg82~yR+%)QyiLbeT5$Y^Xr({BA>ri8bx=F-wlxqEX} zKz8)sjBgN2$uq{_Uu}vAe7lXIF#c|gLQ9T45HZ8SKo5^K83q=dI7QeJP5z@m+R4~F z?Nu7`VSM2mMWYvHt-~;=<^Y`tp9mf6QIeKDO_!`boX3>~S*RK72 z`$F1yH&f|{#7y%4aFzAw1t&&CIPkk(9kAR*gchR?TybcLIA@LDPX{_0*!$35Oh(x8 z3Lyo62lMxNF=M7)SUu^dyR*wOa3NFc09(1Y=ZpYZ+tmeAft3t#L;V>ROc)UdDs45W z3QP6xy5GuFCxJ*IIRT6cjPVxYT<7jz!yKWF+FGNmFYfQEU5}d4o}`^ z?ayDz!_9YeJBSb81@c(`_T?om?r733QOIu(RI|+&-P&w;nxy=?1zD9=$bRsqBEa^0 z60!h@xpU%duM%;N{X{0AFJxDHS4j#b zuat0P!m+=FP)1wAM6J_jp?(4|+2K2GyoYl5f41XltEa2lLp@#UFwhy3{Ae@5;-0}R5%Xqtp;DM@3NY!F zKOv%XALq|I13n7%vYX`NTsP(HZGoi!Nx{uuc^a#S^xZDNy1MyEVD3S} zbDTQ3OZhzVp+a$ytbgZi1|B!7>VKRn9GM-0yV23hlhiVK%F{?vL4A5i$Ggf zxZC*{6RP>8MX}h#w7Ho?s3>zUnSFK4VBd0RO$@Ji*cr+^n2k=it?%A?(!(2uDL5&c zJSOK0Z4=+25A}JtN;LyyMi0k!kBwC@uzFP<>-RAabVM*iT>#yJOJVqKD2-~^TqCYF z$8EG{Gc(U;YP^SY_j)&`G+d;b_o@E96@r#1XrckrWA{3M(?*r&;8zNJx!R=} zV5~>8YA}yR#;L*uX;6U6Sz0jNd~2eRIO%`Z=WpvnmHI>{m*4oo-S?ToBi+mV326a0 zMwmR3ZojO`&c`MlYTlgZMOL@xG6dsJ1P|a(-NTlpqtD$9f9y--;vL)XA9=Xkn;HEU5BVk#D_05zI@sUV=SrGm3>C+#^GZeY{54^u}(#-w+WKWHx zMAYkqx_hxc*l^a9_-A<~s9=%tEG4o$6Z80{;#Kts?^56u5MUVa`e+^;A51K`DteH3 z2fyGt*7(&FJ})`}%UW~1Z4Ld$Hx8c|Y|3z+9i3FsdeyvjJM44~J@8$iDDm*B)ji76 zsQG7nW!o$CQ2|n(V<~vWV&f*OsE|!(*0W~+!g5MuWhUH1ys>I$G~ z{1B43{l4kRqF-e-)?xq^IAr?7f!A4f$OY=#gtdmYHn}|VOftcnxNJN?CRr>#IC5|o zDEfA8`9`QVEFxjtw#2(^Otfc^MrKh&?NyXZ+8>5BCGP~p+ag8#cDuem`k@Ct3l2gc zD=6csmLZ~cnLL}DtOdoD;iYmk;g6%?bc+YRR5<30vid@vHd^{1m)LSBL|qXqAc635 z{kW#;e*1qsTiPDos%3GHM;$}CVgtGGFk@#56Bj97i-s=C9GqZ%l}%h{JnE=n5o>0K zWajN95gJ-NTatgXl!wNo>2+7hU^_pVazp+KFGZyx?Sp2?QKqB2=f9yl3`tvDF4iQ; zqcGYr$|J)T-P&)~oz~FrVZ6GqvJi^qh_xh{u{}KJvpn#6nlHZSXdvDQ{JNYCQab*# ztf_neLWYP%GAEeV{7vo_r9%NXPzuyFkr!1>a)f}6M_GsdAhpdU8# zH3SgKJ*I2N^j_ms-_yPQ0h#}$X|W>X8LOpI^^JO7xJl(Yljs-o>R)6MAeiDwjs1JC z^*iML_4XxmCH^Tb*M7`>4Y_hEVJKGnjf{(`B%^=0m=vph)Z-i^R=+DMWE@6Sj>~2( zRiWCxc-&%>Fwc^nwZ=1b-R>Sa3NBY0Wl1luX^eH)z3e@q^)mB*$}<*7&IIw5E)IL#1(=Q2QGz3!jn^(h*XllRj^ML=0#Q z8EUQ$T?1!XZCS0Nr?eavO)8^&$yDEYnY^>I5>~Z*J(D!%d+IbeI~LLwxsumqGhpn= zKPq&YT)dL&X4&wUF?}LbMGiHi6IX(x&198Qn&;-Ldo#`5K!30$AiY6b@Oj5BciFi3 zE$=YBVD~(e4#J>K_7^Ry)n=iw+|}^-`jkf2khUg}T_=b;%M-Hm<1Y8Nc1TN_>za4W zr0|b_bb=82j$VZp0t&^4fUJ!-0-2DqZ&;QhHglnhlgytQnY*y45YDsfW1J7kbcF?; z!z!g1`oM5uRU{%M()s^YC?cU~%GED7dR`KR0&`Att2ule_cuU**tskgX$Wg!IcDCy z1G`I0(w_qUkEySWYO8D7F2#x$mmtL*in|pp?p|DiySr8A(LqZvR%r9A9KjXD)kdHD&z{lWt1x z`!gopM6yzI$|VF;2WUB1@zY-KALM%d+W>T{Ki55ivuJFsFz=2xENKorOb0!`S`8I3yB# zx4+ipZ%(5v6TBR;U*tdY2n}Bl_p|LlvBz4mt}BP|tHuxFG!Tz5JJhMVN-3IZFk4oy zfjqGY30pt^T?5^UbVAIfKaN*PaU`oV*)}S$7-Y=6kV#!--5G2QA0Bh!Uqr<7My<6s zS6YxF$97UY#E%+bwSAYygB(5Xi263=S7A$1qNmx-r3x_eR79O$RAa1|I(ian*ddBv>L-qXLMMeo9(82sY_gUF zJrsr3qRXX!2pIPMD@ab`j;g066@6;J^;6VR&0-vrE+1O6yp_rovlQjcac z2OTxnNPEyRqH7pQvw1l4h*+RGadT{Z_yQWhd964olq7dpi%fJokn!=tVRI%AJAx#uIctWztsZ-pL=U|Nm@pb>dtq2aUs zuNkNBN3gbd|8{KO=ZCWo2Q+WRjI)wZ5?t(-N*IIJ0e1dze61JCsSPVW?~Y!NFOA;``b8siL~CH|xJ2|oHWcqP*CSrw%jfOycAJmk4MqQ%d$k(T z7h~Rgb16E|Qg}qJrwvO2>bZ41#|ly? zW^88198Sp$vObpcjr$KSFEqi9&$(Y;*xy>&hEjnZyDliog@LD4?Qt)B<+o@~Lp>B( z-pIlcM}F!6553$#8wXSR8ATwxyG^FOC%=PQe~HHBZMc26_-DV~B4lA`?fM@lcV?VkX3%HR^RMD+ zAJTHY>T*W+t;}07Re{Yt&MIM>yd#aVs1=dCg(<)8awhU6nujHmYt>K+!cZ$&nYhyw zLi%SV4Tq2#+>3koB<9DUl2zUE!P+?U;`ZPPoqrH~wMv!tAC356E#&fSSU2BlryOs^ zoYT*Dw59fqWh@~|`Ry~s?Qqow^`X$bN$v=h6G~~2;rv?;{(m|=ho(&o6PL|@I~&^H zC&b?I<%cGahw7KBSkr2I_>{&Zpx<9$siO+8w5Yr;(G6Bkn9#y)RSdTYv!_w9{<19Ks+AOC6?t|Ly9|AoqGtXfqPM|%?mpja zFo$-!e#LmoEc5sALiVQ|lPB^NJ8ui#B$0tQF%?ry)7OdSuW}eUw^c4Wb^9+OMsuqD zP0^RsDe_NSq?@jeFh$yhg|v!K!t@ZwMtrtv{Knyf{BN5hNw~R!u~O?LBi5Zh3WZZD zAaDDU-q>T4)0O3Jmd}@D=4r&HX;t)~MI{}2Wz&T?Z$?R#!j}puAzJ7Vr1+l7m&E5q zk5&9t|vD1Cx=xQ!lE0*tCYFFR&=;eN{-B%A&y{|Yvkc08-e7e9n zEhb0TxM53YGb@vQ_-;9^@VxKgZP|y+lezi2+JlVf@`f`JM~}#gx@0vvWvXipp$2#< z%lkytNS+`e4-AoI(1g%yR?f+}9R4_&iB8}KwzZTljd2b151*;qs(@!?DHt@X3uYJ@ z?AkDyo0k%?SEf^lT6@lQkG=NOmmBu7@27I^h4xQwm#-(sGc0pNdiJMuInKvBV(=Qa z3JP8%%0$kHRo=R&*mAJBbna2wrS%d$7ru5fz%gs~rjN8?L6F;Suqy;FvGo3Qeti*~ z7ro}TR~JdEET48ze%nZ-L753nDQ@4M?Kj6Wp`z*p_af z-$~p|69S-|Y0+DLSkkaFxwq)rVV3fxxTG0)x?OV8lm-h;;EKdWqr%?e_YcnXv%od+$d0{nV#|q71NSagAjm zN4wZBx?`P2Cc{lO`|@xt^T=@vTNCyheF-^^dtvAddd11|p*%|GgZ z1Q)R!`>qN@ni7n8o7sb>e?p=uVhUr|bG(m!RGl~=mpGD1A`r}ZP$)4?nG|m~dm@d_ z6;Foc?GZL~Xb>x@pcw5R!h2qRW9p-`qw%%z10Vg_9;+=}7j}O9>(W7s?;1g4Ebv_G z%_aCu{^$D>wKq(w);edmW~~=|xw`gDrtgqrhUSo?(Lc%9K|YO`>dZ8Y@Mj4h)E_mr z`{I4{<)s)+iq%AvXghWooP{6Mvv7UjBRHb@#pzY?yjS{#8d5>Zh61e(fDP=4-g1Sq8Gtax9h2il}ebr1C7et77$y%~WSl2o9t@NM`3ej?< z?d2t2mQuR8r6-rSB}VtV8J2pRZ0s@z05C00EF9=lcd5_3^1Ze26CrYTpziaH{8P|s zC9STs;686)L-^I4X1l|?;PBgAUDalikSTo95k=O*;nv~w z7QZ|ymeDmGaDbp$Lczs7+L3nwV%DH$a8|OCycyqza;>q~7a2wug=Lw@M z;BpNifCLK>?XR^;Qb@rcB(76wCo6Jy|l-yzmb^QE_S%Lr8@C-Wi%2J%L0uSu3?qkyomX6uE9K-%Ocq#kG z$Y!nsJ9KgZHLkn;bNKb2nz!izb%Zm*(#f<H{;v5|fCrc*$V+`RjRJBdkM zj^`lGI?z|}3gmjF7y=@`zwcv}md#QU08si#nErHEwAudsXNh8;F?SAI;L3Kp7xt=5 zV?{>CaVgt1@&yxw!pbD&_1Ch!uu6AsfGq)S*8+$`AP<7_>%w|eBhU(1_ZFymM#BDR?b^90W*Xt*8g7V%j z9(=67G~1}rb?Z*NA}dmcb6!=hl)EN|MSoqIAWBvfE!!qVcy68)*{l_&)jOB_5^taL zN8f}wA<_jsG>|X*d{*yeJ(|<~F+pfMj)~aw?smHzvidczayzwhSmy_V$GIABuwP?m zkRii~o}ja)c70h$DoNm3qv$y`{&or~rP&Tz z_-GnBkTa5`M3wwFgnb}Fz%y$(_twaJLVqi5hBw#KFh^#tulh!Qi?5lbrlezXBaFq9 z$}2I+Bhk}c{1yjs;Z|iwjv5yo#iBHk5mehDwiEm`DIoizk~DU-?~_{~NvJiDbBxA6)VGJZ=*v~2gy7*C|4s5U}-GUf!%Yfj!CT~RA zSA2H?EU&6L)@=@Kfd()%+c#*1ML|%aJh&E;sqr2?yFX!Pju_XR!L4|xCU~4|mil*( z(dnLSR{D2yF(z;3ktPwGYf9_ISr-qqemw8S@jWoqIBAOplhMQS!6 zt!VA%X~vhsx@S$)mKd=$j%=oQ{e!s~a@}ldTldyYl|%0&yvLi1+)xcfIY-?|-N_6a ziFjom;;byBS(Mbf(@Ku)iAz2x1kug~sJ}R2y!Rf7DHu%aEPQ=Q(Ba4Nm>h+dmU~H9 zbh;%|3+R2!^1dnIlW0q5a-l|7+0nqi1p`V99?T2Vl}~KKGRR-%fxfy2A~|ZKT1io z3T|%2?l=D7%}UnZ@CGp-jjtYW>s1*9%z^GFJZQ5YrIx2X>2A&{dM?HgqQ<`Jr}Iig zfp?W8^y5NhB&gQnLJv9a9Nx_*4qTAw&Fg&}wllG{1D91T1sFD_%Dt#OksNKR9mQke z&jm=ngnvv>b^pA9(H&>6?01d6R(PM%Jn7d zG9JJwxnYpE=7URE=|O)$#j$s`OPh0xwW6A;)Ya;bSGOa?q&~cYE+!Qc>zjsg1go2( z6_zMR7Tvi}8#QsC+}VqwgG*C#OabV|Lrl_kR7_`?JdRehlk|SJ$J*UqiVqO$_&&1C z7nQkNcE};y3I22`5hW}uNa4M4$4q6AI#yS5KtD!%M|R-Z##6s1_{7Q}-5uJUMvpJ@ z^HOLX9G$EJap@`d5zZ>Qqgy+SR&8tk_T)Vq)IHIoKao6=o;3DhxD|dI@Rg#+$hh#?SyYhm#CxG(pCuQa~{%ktHP7)wuH>(4nd zY6GpeBrN-fgCPGZ23<6Jy;m!Zh@Hbtmz_58E7J`LlC!A&p~TA4e&*X$r#SvVjvfk) zt??QTT>fWnonPm^!Y5XPUeEQwW&AKrT51w?cZAhKP3`tVsfw|qO`W57-EXSUOxgso z+OE~n#pTb#?V%rK^^qi+)a#I`h==d_NUjykeTPYD*3tN9HLGASyMx`%@IRMTyz$*s z2f8UGn-fRJ@=|of9mS4B-Cy2JB%Q42)$@4ocS7!eK$0YG4Uf0qMO5`|J55n<2_0IhVLqf4C1}$ zsM(iwKTZ0^C_z{^SdCktvwSSZPRhD^3m-)P+Lb;nKuk4Q+Cg#SUh*oM=KmFg^%0F^*hA-*;NVgXhZCx560M8r=CTq4RgRpHd6XnEX=-zcYiD$SP+}Km1jkHgYxNh` zWKZ1}X&>I{fKGVyc>L{;oBe~DosJs1y^P(tc*EWOLi9^+s;UG!(FCpJIkEJ0h^GX8 zqs{osb=>Q}mk6l_Pk0$2^)-d34;d>Ojld_QTQVjqH(lLtn(o9XMP|e6lKeO+)!)%G zsdGn-Q%LcJb|t|D=n?Y)<#S|iyhX1sLL|b5CD}jF;n4@kO(zzx*o`!#ilJ?wIweI! z_2Q-?*)RFDO3SNCabH)fyJW(8GLE)|4#UZOiP1w~H@BstN*h0`hvM)mi^R)TFn^Z2 zPA}}UQ$9aAvVup)|2bcs!+J3(d09jwJ<)q^9pX_Pc@^9Eg?9ER_`oBjNIx-INGL}p zKk@wZ_S4@{3i^z=itRT)<1t~AL8HnZi*Lpxeq98a6I8qX-y+x&xHvd9I z24X=rNV!)YiU2rZO!?i zD10j=N$ET$VyDs%;kn~&!J!UzcF8{?nAD{YBf~Re)Q6bqBuBI~F?dZY)vwDrnx1df zjRoy^x;o!LLRlW&Vig_yE&RIVe|5il&skSMYEmJEntF3R#YOWWc)Hm-MH<_^2Yd~} zvTfuiCJLHersLXV;cEq6*aS?>u&iWQbtQZhU(8u0IxhMs*%(iBsjD=j`|ExMUplchOA;sM zOS((QMS!=(lo0DpL9V&u8ONDsno!XX!9H!w0w+{or@M==%8-9So{&r>r1y@{`djC zMfPs!!*c2C>d#j?rx3{bO((4EvEEkgk^nrqOxYwUhRo7z#A-i{M2R zNIvKGr>F)D1pI`s-n)JhaF>a=79x%m2Ru<|X0`vaK!F@(u}v+gtiF(c-W0@R`TSF7wz9s_Zb{}b_K zvg4=d=(O$5!AEUROHikatnMS5YZvbt{*>bD37i^NzEv6iMhoikoPHZbLWMG~%^FN4@=orvM^uDn?r=}kE{&L=K z+QB~Yt6Ji>b7wK_A@-TYODa6zDD%`rI_BCFm~woRX`oVY(vRuLRhxpo&$c`sU}9nM zicK~vd|l+loV#x!H)Zpt=J36iP4xU56FD-@hrd<7k;qHj!1)RFwk^ji zqC2iT?YDt)fGmD5jpuW!h%D0>5!#JF*p zDMLOlAdvL_dHat9REQb{u!CWD>QzQtK3lH?jJgVq#)LuUz3K*MTW8Le9dXw{8F#{^ zZVxM4*@yV^V#R?YB#3t~wRvy>t>>m~ux1apWDj?eP4Mn5+&Sto;zt@TU-^r^i2X%c zPJ=hdABkgWdRlX?npvd}7f9jDaFWOSPbo0xS3yBY8X0JCU&=uz70KwRy#9-4BO#|xKHolV>N0?ZJ2srlRlMLbq6C1(L`h@&J6|k}i)aD* z%dQE>V7iHjnvDi~M7V}dApi^X#`^-?vZ=Hi#i_uT;-MnC)B+SY+e1hCz3KjfPR+Xr zk=t`vXp_IZgkAq$S7+7E0nh2{HlEK`%mC@ZB$^e#r&g+?DsTY9Gew2yX&&-~$H2$jl>RK@PfcXyiteCx+=~OWKSY%q;wAey6!-OYhJa z=ww_S(Vp~*0ch1~rHD)lKmrgLO^3$-#uPcdZ@gmj!|`Fbgz4x$U;sgL=eoGv_!{%y ze~y(4|%_)oI%6fhbgAi%jDTuY0`pAmx`YZZq!2E8in%EypoYP_T~T9qdfrGlib z-B`i(z-p#6bDJ4?L3ycFEU58mpg11=?shv;O0Ye}&{xtN*sq{af>*u0FNN(j)7 z!r$V!@BwBC|1|nK?pi2jyVQlo{wX4SqP@}|H7fj#D~i6;y3pP;cYm5d&DJ-2K*6ba zNkdP%fptKhjmSOQgwm3Qn@K#@enft|kzT4_O1r^13Y>OT!Ce8^d!pg=Z6aakZth_> z>9^42;~e6AYG+?}GwsUy>z5;LDo=TGo$rWx7fQ9Q?k7zhs+}7@bg#D4#$927F=i)k zoU6ha5k8Mw$Y?%_KO?|_y`l8j*h%*O)7?*{5lo?RE+!`aFCnP!;B^s!7qe^qar9YM zrM2rdXqW)^7Q}@I5q!WW^HMP$Guuv<&Ph(q3GimBmu5}ZUC?-Rr9+mNk65DD5g_A3T5~w3VaTUO<2UbhjPGWu@vtsq# zLPLE=-^g~blkM}R(U4JHFTJo_O0B(X?9lSxrSA@Q-F3tOiT3|b$~hI#CC zM4BADvkncLbK#{0dE4h<`)7Wj!yK=NQFCw85Qo%OGTGfYBXqPlFa9jlFG1ZeEpo#p z9np}b106)pYU9haZW|xr+Ha)BrUxVd3hsB)M`2a4rGCQUl>m|rj`)INZf<^3wbyuZ zlMv559sMQ$lZgOyOu)FLpPT*;14Kydu?&RvHt+>;Q#N4Gbr@k&Hsrmq4Urn}-eEYg zafxrY*!sa|wU0dG`pFIar2vw`OZRaiM;2?123Su1Y5-z*DPU_q;j`<3gSB`pJ&DM~ zm4bMl@q&*;hH|axZ2V9*bPzmcW&W=+|JBOLstD1--4dM*!S#O@rL?ra<0{6y3z-EW z)Pc!~Si@^cnbdd(*#{Pa6msJ+Ies3#BDi36w3`b3Ik8E*SNpsIwZ#7ncR^`8tDpR^ z%?pBtO!>!_nY?YkoC<(xG>FdHn&ap0<4_B#iX@Ws*hjtD#Tv8(dZ^%By`g`XB9ZvU z&(mS^21Bc!2H}TG1h~6Zb?QTo1O_xR^Nnm;Z)}*SMStccc&e}sUmC2=qNuRh8wg$_ z-sWqn;3hCOK5sG*6;8Q$cUZT}k;-$1c zi9l*-Umz?NVe|O6B==b5_zlnR z=x@tp>)Qa;doJK3ne6;qA)Fk?*Ub4L@)TAiM7)^lnHC%ps^*U`0&qhuz!@Davgd%z znE+t+f_ydSiT248r%)$&FR5mZY%4ycav z4HE$J*ldeYF#+l$Vy69;5u50hH*8Xz{LjUKOG^auX&GMd3>wE$5p|ahSR#XU+m<>n zds*Q`uHu^D4$3@ZLgQoV5gZGhjZuBvu%TJBsG%09J+n-1`=D+DDJ?J^)*a{jo2gp7 zl!c8)5?d?h^j8?VdXB>y8M&I{64tQPFc9@Rxl;OtSL1Z9p=F-{uUS=M0 z^!fqV`CdvpK9t-PZ}D`sj@+bh*Jh~&K?AX(z`_X^w*m440C0N9(ETUJXInJ>;ecTO^-~NBu76*wgGw{{%NBfK>N|gg~Me!lKOK2I~7qbzIyfw!x0!54PyIN;(PxQsJ>f3gfjnv z2^FU8U<#@vZOh1|6{coW|IOxzG(R^%{9D6o(6N^E5HWznpkFxcZ0W%B?)H&97AkfM z2_9^7b8P9E-rL9YoqYg_@8TV$_&~VM*a}`lS`*2=pDjj^)UeyGkl5c|lMppjcs)e! zL(^CwG*U`OM1s)42M^t)zX%>WnzdMo3_lk5)XLY%*D}?;B-8CegOOpsJ;8erx@mtr zJ+xNvzx|kQQa3GT>&eMaV2q)_LnL_rw`MN0+F0nH1_DB_5uSyA_Agy%*+H*N=65NQWJ$qAj!KdDe19yjT|~BD6n@W0FZ1xL9U?I5Qi#0-C?*9 zW;hut&6^P)_aFVyut3kzr}txZ#=yW4oWiz*H-}7 z@^6_EESIxW3Wsb&dH}oIjnsgMzv+2kREB&^lm^G?JQW428zEG5+p}HY%#Q9GEX$!g z+HMuvlItoZn~_6{b#`uVpkp}sR!h~CaxE7pXS~#{LXHer?f3L&99y9eM4c@XK1!WE z_{{+!hac~}$s%`0Y7p}vlaiaIOAm9O>cH54xaG0Qu-$pbxeH}WH6{B;L@87FkE1SC zPj{am9)ezzmMxupvZlJv{RX}4QJiJ8TOvWK#g5^FL7UCp*7Bm_*TC9yl_>8Ubrbxt zHtRw(Y(V%B#v2JL2*^2rK*bDB(>ZF#1Q~>e>u{nHrO>bLW--WU2e%uueP=otjJnmc zU0ONFFrf?y&o?@DS^yU9M zSrb;)W+7n3Vkjsvi6Vl)bxKc}0U>an5F8FK^)S5n8)32Fzo*;Eo5->$p~%Rv*DY0t zHnSPu9ruR^n5_{Omft>byApeejw^rWj}w2|_G&KZ7kMCe)DDFkyf^)F>ube#z8!p0Fx6(O;%{pD|%zcG1^g8qrW;X6uvd@$1K?)zgu00$9X zb>uIsia;9YkDQS|Qh@JhT9o{`LKQfOrjEWH82TNL$L3lRAUAWnEl+WcCCX2TlB7(v zc|*%G&o2mnrbVenRMfU$%Cit(I`N3=*lNP?e7tk>E>*IxDT69l}vS z=_xgqG3@0ZO<7JfMR*&P7<#G)kC%DJ1l}9$z0(7{VG_fj1b(i9aq7bBc&VOv$7Hja z$6-mxEa*rvytjXwk4Jn-zV?yC*x5C5!VwqYTW%sX=f>w<77%a0p53ay-RiV%MM~vW z=sBUuyC41`@}fdaULbv<-!n5eseW9~2w36ak88+$ADX(98=X@-IGxj@TRrGl0$e_1 z?j=&soZv_g>+&$_xX~8TPm;l)Yt_f%j8%Tp%dHX{A^MBVZzFP2%$pn3LNxqYgp2zv zr~cq??IwQIjJXOItnFsaax--l(>FW~6wmgc3l(s+qYk^QzV?LYA+?&3g+(y_`!Fo0 ziZTl--RJN^?TZ%kh$_V2SmNxd)L*F>2htDxaXGX07)l344;CX%pPB~4Zp8%Ph%CUL zf!Y(=J24VW*P1KMh7EQoH;v@~Z50_?0;0C1QuXN?EqFhcLCNyGaXt}RL{Oo{N4Y#sYTUVx2~zo7`3W+P#4@q4Aee?fFV20| zQ4T6%9ixd|s{1_cOm)(dCyBJF)atr>_)@9AvLD4#sr9v|c@b91>bw*w0_aaLQmBQm z_}1@UR!sUJc{b58$<%MDBcvTngn#dBq;-PLH)L1uY?|$Ya`wO@>MG9*8YvP#`)DaJ zg?@>+l0Db}XjyE%IS($htfSnsfJ?-G=Q*Z6$MC0F5-SJ$>r+BUY)-!*$1Qdsk7@|= z{1E+VmDB5_l={W4_^;sZ6ScEPX?ci-@_@GA#p;4(Q{O_drdmo7GGtNWNk>bJnbdYd zd*%+gyAau0GxJCD`C@qJt=MX))DG8V2G}9T_{hc%moro<*XP@IBb#BF8*dJi6a4Kf z0{!Z}%__P~aeSODa zpGyM13bJRd4Khw<%42 zDc9b9+KnPlA4{Z4oG)Ue<7c`go}1R*L5`-zLxf(>L}QDFHZd#}vHO*UyAR%WE_Ug` zp85boQ43zE6uEs!L-|=wvJpAGB5zzt=o@Ff8~h7;R41$O7ttp*NCo9dmCB{Acd5m*!hSqUN~h2Cb7@0JKpPo@u@4#}mpoOsE86%sIOjTuUW~-Hz;e&ejEP4-Y8qjI1Iq;`J
NzcB$Xx(OQeJ-0L(QPN27Xffv@B7eV@h5{5|bYNNP9wpYNZE7PwI` z8n3|?-_z0;5s%Hh1+kP{3w8w~m)=L!Euzd_xWuZnzBaU<$CVjq|Fq-Vec$>{`wf-w z$@F;s2#Mdi)NFgSc^*k`R;vol&F`Z2;o&&on?M}V22a~>zDH0yoR$(*GWje+5cZ12xF1hW> z=5m>CWcdxa{CG*vms?{hdArms(vve5${m9LVDd_)Yf8!&mF|Q#q(mfHcwT0;~Y6ZA~tsR2+fd{sFh*0 zL!_XA_qlJDa6&paUaxojnItEMpOmu20shq5^#zyy)nV8IqWT1SfCynAc6di<>o>!6D3 zO8jr+$9P&4LKy6f+hG}S$MngIdsio(pD4`ZB{7byM8eT~VG_bU*r|WnR zlKH(cGQ^!@3jfEw=uNyLl!w>@=gSv>!8O|JXE^(X?&(Y3Ojh-5h5PZm?P&|CO=5TYC-)TK1AJi{(d!?ntOoMF;eXwHVI3>^@4 zPblq~yEPV!hyai-cQShNpJ--QNr%qo$(eZ20l%8^#HDHUt1E8Uz=Bc@*x`%sMoHjb zN;7b1f|>Q}2B0;wUq~D!GvmGr-}XL^A#;5Z=js=i>yANKzZYG#E*Yg}zw~KO6>=0t z@&4-e${Gd)exP7BxO11pDTe70QUiNDB48#VII!0lS3^P}x3z^?Yu973ig#f3Nvt9Y zalSfl$Qu>!PVT*aVZZQbT&WlpB&}=?QhcA2+ju z>dH36G4zWu4}ma)w|zyQs% z(83@bS{UeOZV9NH^lqk*G?QAUM0RmO>nFTAmGV4`rpx+&NutpB6nybwtMrNlnMMBk zQl`*t0mo%w(fx(gJGFvvAXG?5X?x$oH&Se|DLku}tkQK?WhdTCg)_h3A!hTe!B7l;%WeFb@@uOd~3?IBQ~;iSLor=GF~&0TAF>S7|Bsjx^Iby2!Nrqn>rly3q_5%05@mx}SqY=q;)GhuZC@8^C&<)5n5 z6}I4H(g%~tjUaC#i1qLNgnf>Q<&`91;YKCYD{S7pv#4FC;4TM3kF-vg&h!pX*P0H5 z+i5L?;yHo$)(0#*p704vZw$&n&DxWZ)|X&)j>`5SW41|-GIAK9LnRbokzD=K>=PU) z9;J5*4nhDRQzLu1)=haZH0v}xI!EfM@8M-K%(W+xi6KlrKQJ_V2S+Yx>Z2JGWaC%q z7lJ-*C53_!E*dv6bu5l1Jsu!KW)0Oj5+7@4<-12=HG}-iz4V(c;;-5*zkV7XClvvM zW%2c45YZCR7?Ff3E4an`XN_~H4|5f01BdRd^EEs_K{^MTzs@+8`@~Lb6EZY!I;`(V z^*W>$pSyfLir{FdWmC>yRY1yV9tjQ# zA4HVt%%TW9@icAC)sGp#ICv;=+xWz0yr8vMjBFD(-z3}=thP}Zc#$MTs$I(u1|%|c z)-CP8;N($OS33J|19p+}E)SKC#hLGJgvs}h9ycR$?wnL4d+&T=o7mnHmHy!f9pz&p z`h-(Ike@G#G*MAuCsFTc8*S1*_eGECkN|BciC!v1zK)5Y$UFGxW8I}uyJ?6@6D4Q2 znhSJb-Y23NdvI(_H+R+G$Y@pEH~Tm-TV+B!B)2?@7~!2EptkrI5wI@YZ8(%_W)X5F~QSNOw5qN=Db5VDEB=^S=J|pg&G65%x z_s!|f`)QnSv-)DEkfIPK7?76e#KjM`RBF@mJO>PNp(b3{oHs?%M9(0%&=swD8R0+R ziNQmeZ}M3BP#1*D#L1NJ?H(zGBh&=NnoyJIHBEIbMvbWt90pMQx;qztBO-|0#U$aG5&mz*%1#uP1@Yl%f6R^Z2C=Od`g=TBK; zxuy+!5!(>rXIWhgRHm0#?7T?TbCW@Vbj%%}MK?owM><0(YzZGO0p(<3L&P{dM z++#SX1?IgU2|}(?f)^^=RpUPPPEvo9{(&4F-TY^#Z<8<-53Vv8{W~>gYgrXx{}8-I zkgRBp-^9a!Ydozxyu&;jK0s3~cCwJ|*MTfd&JNg(SgEp6Vps1+UdUu8j-Q;4Sb*k+ zSAKEu4rhwKt>d`Gscj)mW8KG_s=Q6wgw#GOH8qZhuzaOEXt-;G!@{je7Ggt?f5Z5X6vyPkbTA_J%+I6d$X+y@>f3(D z%~9-tnIM4FS$q`umj}@!6@g^EiDhg-Cqgp9<>vod;1|Ilr@V zq%z9wc-_+eX2XWP&lw*&AprV@jWrEHIE_e(LvAP`dv^CL^&+yv<_?e=2HRT%cJON) zGYh}Z6ed&Ad>zJiw6sKk^$RH`Xy~%=_Jm3rS%Oc}W(9m|c8%YW;j%VWU=P zwf-IosyhWqa_3UPIs-pqL-}FuxJJ(@IA^B-2x1c{CwI9_?PhV4DC`eGqS*4+a={1$ z8LxJ7@WWWSfLa`a@Q^Np89EwNC;#wq#1ch?;*l*?+t1}DWuP=ZXq^4``!SR*meMrD za0bSf*>`fT13$kFsG5YhVH4%pk1Rb%MWyewi`hOLr9mk|jT>lZ`s6|=!~48?7zbs# z4$`4tPHTuHMt8T^vn2nRpzK+Y)G*}a!w)3&yQwCWXq_jV{v!}b^A3dQF=d`KCGQfk!b+GP_vdqK^@qKG%W!Tk zLFp(k6y2YuJvq+N)b4{4zEZoa3eZ0#SUm8S&)cNLRq7-V?@m5;z67pfRKD5Aj8PT+@o!1i!^9XFGH9`}*&8gouqV4o@aye#Z)#z<7T)70E*iOtQL1fv*S~9^SAdn`9q0kh830dR=)LX zw2u41wD%NkPX%k(x3}iMo(dRUAv}j!WKi;$cVg-*EY#bW_X@A=!?nVK%18{9Y#p+Q{JddxU5<=qFl|5o57;Op1FX@05u)LeLW6j>ovk_W(eR0MvrU6%b{FqFUg7uoP z6k-e`ya--tqBtTh-4^vBKGuFKHvB{kh1Zwg92=XkXrOdTcJ~@Gqq_1NaX4h@xinck zpV*GXSeTO2?CM8boZr3^XAAtSWKweYJ2b3HV5V}xWBEYtDS_rjK!FwQLYk>1H}hHK zH94?n zuYj^j=`xNu?4O^ND4u=rWgU3B@CxX*QZP>GeoD;1SuX)yaKa{FK$Cpt6Mp2ZC>vbM zZ&l%end48d%x(oHDG5q1T`+++;-`!1yfIOq1lcI2igymfX7G&W-uE|2TOo`&i2ipv znxGMolgR1ejDA`1_jNGEy<_-$kcQau2g~&I)7fBElahjr|Hvvnzt$2e5R~mV5D0WR zU1SFxY8@!#w9_3{62$R(J4>*$e+f&#YIk|*@J1Ef@!iZ=U&^Ox4gwZm>)ydmJau0~ zB zn`??Wa@*+I!G3AW$G-HIobgQKQh1Q6a`LhHkJv$R@M$iUvvp~CXmOylY>2K{djL(4 zZqN?ma6XFvqXzpQVu97T#Y|Vy?gQ`A$2~rKt)D1HK?9miz=mk7s)yN)74sd2)Qbx6Il3 zByW4J&>RvY2H|x6?emVh0PelJZ+W-UmffHH?MXU5D|8EG_Vl6`-n$r2EVslQ);7l@ zj3V&I!{%;9zj(BV#ie0)fA0LF?n@K{4F-V%DV572seqjBn$_>!pW=y?c4e_oX;8bn z^QyoAVExa3_v1SN5qjNuoi*KpR#8c^W~JS`Y62K^{c-Vui9j6jo-kES`xlek7{lp0Y2pzFadK(8x5+nD|zn?}*{bD4AJ-lKGtlo9k)kd>O+GKlR0 zOhG34$D(eOV<7qaYm6t~EWGq7G_&kVD9Bc20tMES%#Thz`;Eb$KNoeQFcKM=+EYCv zegL4owg6*wP%REK5w9f^JUx-FZzXf&Q)0O|G|H3HdD!Y9hk9V*jSz^oE-_ zWT)TUM?{8WEo^luJ)lhSE}OQ)w_)Q?%ZI8++isc}$dvkN40Y->y#M=kCt=rA-Tn6q z8>7XuRT2V?NUiKg9$H7nJgP?df3u{|983~{Ly13T30|^0lF>G%R~`wxjt%jK5!X?E zu>5sYIZ}BVgPA2`WUFif{){;z6p7tWjI(DS>@Dq#%SyA8{zZzG6OUH$%@a^;SR9>x z^r|QDrXl!g$+tk!^lQg~r_D0K?P)&b_YwcCp-Bh|z$YWRf!?rOhefb+$In?b!F7Jq{+JX+D=LF}H zPKnI=mNW$p}6z%PDDcS0=&< z&>B9TKMdR|N3pMlC#QC-SmTR)3>_3O^5kq?u|)+_aiGsra?|;j44keab1@0q$;tG z!J89iNcbEBVY_F&xQf}Tzolw>#pT6*mfey~VzBFB>=(sl@%%iWx1SWuU7oz`C9TaP z(j?a33+UG7b4Nd}8TWvv(Pf*yR~DqC@Q+w=V7fhXvlk$HBfq$ZOHi2cSczT2xfUyc zo`Ee*?Wcb3sbFs==(n@G$mW>f0(sPk+6tVZ|eMylZz6k#69Lx4k`WSA!>uyFGZ&me&e9WA-h3~1=M-Z5di-6 z%R)=W-dl=<)sffI(vvY8P5d$8mS9P+R$KL-ceeQu^1_w>F>~Ha=_l!@o+B17Z87uJ zz}W=9z%JleLjkezk%81EqTa@tyw3h)Af^V;y6i%bow2Yu2x{`tqJ1jA3!=Ln4J{sf#?i=@-uA&Yu@ zjJhADX9;X|SLP&GV%#~t)eL>a}@-NUPiFZm&(E;=ws*>JflB4y8vv5V>Iq|7A4!>E?#tF4h3%xEy zc2MzDMLQh6Ae-l|yaKkE0o8rMq$Hwd156fY=IGZ7CZxx8OJ1LqbzX zhP~G-Wgv}u6kisQ>%}HG_kBtbgHu3@88wXQ7hMy28&(k^!OBCaBx^bG2~PA&m&--X z4E@AoZ|%tQCQE4s3MYXYzbUVN?D^nagI{C4VUy^Nh|LfrUdA~Nwk9G*1J{;+`(Bq{ z2d%v^yL*tih^I31nZsK-?^m)?-{%7pJa{NbNQHm|H+URB(7G_PK-jKHOTpO{YAG&YJ#6ZhLl z5RTZ_m$y$>6qL4qG5OB3*%+(c!xFTU521$Jq(WJ&B_yNW2l@)OhboP!q1@dbHu~j6 z)}0>jM@(S6W*>WAHojo0xWHf63MMquy4uk@K;8fD>teJm3 z?vK6SLkIH~hhk%m^58NCXTo39R8i#1)JOGu+PWTFq(-y{#n4apgkWWJKLo33z%|3f z6#l$Fnf({~T(hOr37Et8(Ft+;^Y%~WanofKnI{wHqI15oip00sqts?^FvltUUYs@5 z(6_a-?Eh2~NoTpQEtT2el!$m=8iTtnp+yf-Y-Ah?E#u^m`XkFd6qCQS8chbfGt@Gr z++NX5)l+CJqOkFY&GmTdal`Z!8=EP%iM3Ab6@7J57mc(`skX;-Q;*@R&&GMnd{)S| zjk$;X^OvTht6MLwq!?6DC|x8<bD_JVF|g3);Z^U#MfpYrJxrxGKra?E7FYG_Q%4!;FVM|-q#GSq($n27cW^@-2&i1Ar*Vhc>+{9T{F zw3O*l!s%MK(O4kDCgobc<6A(nt$x-bZ9BBQ;af*B?jLv>pLJI8ezkH z-7`*QR*=Z*iqsx4Sh?)NGYznqE58&)Sf$ zNgR&~p2(!dhK|yywa+Txgd|CA-&JgXyCEy|mVX;Oqs`a`re_M~_wk|`)0iR2<6nEBIf&5AWDxszb^cO@S1Y*p&f|QNMO9rzJY*|y)REun8a>t+QL^? zOT2||Rj_nmA4nwEv4&!v7-y9hh`nP-5u4|uNwvJa5Z5Dg^d>*>2>nz2!kHX67p3v| zLlmqog%vGvwn;>DcY61uFzr^}T}3Jm=@azM+D0|yCqRDlhe}*~4D=~>aTazzoImQ# z>DH0PUaBC~6}>8oz)mtiPKA4JH7E z3(#sju-a+5m2*i`6?nC+%@2=O&}qG<%2FBE2;r!D$Rf{O@FI2+Jsen{?x)_nzNWm* zVbN3YmDKWJ|KL!R-ra8h!k~oZPMgY3J1s~-YB*>Y|3kdUXyRjNnaiUyf;V67 zF!8>z%5lu{n?;S8g`g58R1{iP7I_Vn+0U=0Vj2$v&kJ3D{Ca4uLl!g4#NG|Fs49DG z+^SSPIp5+hqkC5V`Q?=CA!-Bb)l|%_HmAQfo4G-KoWf}JNtQQ}Ot3Jv;aZ&r;!60Qe9h8yGlGbxx zTZ1q4$vDtQA*i0JsBaWT< z-ac){-1o`q>SCk*J{~gGRTszdg)alk>n>2wu9`^I&Ezi%A0<`zOQPSkJrt|cb>6r_e?{}Txt0@-% zxwJ0j%bA_eq${MpxUJ_iwl>7DRQrPA@Lo}?oKnJPm2OBM4Df71=JoJRR-X73g@>-SJon!u;L-ERzi8_xgDJ?iy2WHQ;SFGP=;Hrp$>2`%^BL z)a}*2oiA+vq_AJI-Da&d{@cjuK1i)T^O%f=rj1|h=iJlvM!ux#km$P=Z*Qs4*0}OH z9+XuX@6UupS~7%C^uhYAPMwcwq8Q2W;9lrTto0phYbl4a=+9xls^z|?#b(sc#yZ}T z3y*bp|J7BBW7%Fr}d<1QzJnR zh59kZF?nrQsCIvU8z7D?y!5>fuEkkB}cZ2@k%r%0@3a@6CBt+g_3x#o~`t z4kIPH0wcV_$#|F#9;TUNzDbq!CQ_Aj9D4DNOK$9QDZV(sKg`cCJ?0FYX3BvMy;~y4 zJuO7lZAx$-c&8rwdNBN;(@1nx9`x)u=Hw4jA{h(H7?_b#wJDT!_}a7SMAMSDmLzc% zgUdF9TVnrRF@r(*TMO@yf%kMKS6&m0-nB{}mCf~H>{_Z63no{6(Ze#!0C!DRw3ict zF;MwtlPsOK--MuXtkr3L}D!-73}V!QxY%oJe&SM zL$}md^WDl7?tI8Or$#8}X#V*E{&Q?c#?Q%z8zx`g3;E|IpFUCj$QEd&7S_U+?Zj|u zoTx~PyqBo?;)?Y+$_#6M7h3*3>x)WM#$%Yh$ma9QrI$mr6hmD!1&SzktrZu=M)lML zLoL3&M!~7^)4%F2JhSI{@*7_^qvB)wKVC@j?Q_tviB21NX{Op4Y0;Bz%X1Hficr#( z)$M(YUE1);-~2e3Wn)sc7g6Z?G1WK5$J@e{Th*4#-%txuTD2#Mo?LnfmjXqn zc{bZBX7kY~agS4?z*+1~=kI*9u;U^ke)Oe^L9H+>;}lpRg`7PQu@wCV_-PL&eVHoC z!pT_`sJP)!|42RGmcAR2J2kKklMn0Hct-D-#L&bT7<190lgIOe*`;uaXUM;d6A}H# z+iA#KYO;n-gLCn$C;zVO!kIr%U21WiK=qibWTo_aQhQJ0x#}@bh@E6iM-3fYJtAdA zT7F?ON^DVanO&mZ8^7-KsgG&8st16M zee&)6hpq(L$%9WF#bDupIE z(fk*gAKn-j1U%6?_)`<}m@hPxnk8anl;pE;WQjO=^OpoCqke5r2qOXcZV2=^s3@he z7jV)))#BB5&P9XN-S=L%v6DPnEvtdQQyd57*HR~_JT{{rG$;t$_>m~4I(z!JsP6im zo;{^`YTx-aRpp@+4Y}k8whzq9B7(5rNqgAJ-(om(kgeZdjx%UQPM7Z8W}lp#{-xvy ztxyOP8h+wteNxlJr@ofQk@Y+6!b?ceU${`(twwd~yhsH8_npdXso#4iW35{+YI4#r zSBXkYLy@1l)7A0QJ#yj7&w17$<%pbu82XwgBqP{VyQ0 zy?oYt_nQ8X40`ihAtDCO5v~|$=`aVRY^|APK1tPWy(Mwt&7@U9Ejq$i%ab0W4EM8? zliPfF*r6vgz}^10JS!VCUZSzAfu3c@B^msR&sz0SdUZ&K!ximj{S9@8jd>2}NlcMe z{))NPQiLITDMO?`<$b?l%t)H2^kC)$H=|KOQO&7- z4EoLV_)Qn(tKv!pN+XOx^&t0?cu;>0W8(j{a%RONU~!a!FD;Sm)odvc?_S?$a_tC_ zF6eCiW=R+widNXAB0%#X`7XY~?yjZ|d7Z#|pXLOYY`-pS&(lTm{cD!{<#p@%IXs8O z;KH+xa=GGcCvDcS=bEfrulEtH6s+Iqgs{L_o|zt230sQTd_Zn zHKBMooF9QBU%kGc$w#>Kztt?~&oBQ-?lf&uXVS`hNxsRerI5g3$EUQfq=d5EDiI*@ zpJIs@sAyWFh1rQ5!m?89ur z@vGEh7qcfrykpFXohP5Tq-s^;=y?`cPKhLq(mcNazHQ|R6jXlrm|BwK6`*kSFq-TW zE7V#`O@pl)L$!P7@&@6}Od;yyAv!)4^j>^Ux-u}1^3x}n)oG9ccs`zZ2 zBPWuE4$-s8FC;{qmMEEIFkr6x{uHtCkAY&sucQ`r_`(&B3&-~%^A@8HXCWfC$bA{L zR+hhqdx+N46)Ne|_?&%ZoYktD3mN#WR%eEtpLFk6p0=+=JL?028F;|O;mIT` z)OfgA|9)#TRvPmX@^sA#G7f(h$A*@`u|h{}WT6;br26Gh97>DB_n7z}f7usZ$g(&E z;s|yB9y%;K-TZnK^V{z_=67j({C3)bnVvD8=TsL2RW>Uc$1lG61K!VP{JdRrJi)*H z(FEjpy7`{Y`vZwcsqd(-KzpNKP%F2ycu&?#pEz5m6+F|C-@U=NbU=jAr4gCP-<8=+ zhK93qNRd~HT$Yd&laYJ>qS>$app~=FRZ%W;Wp#ryo+pkM!J2j)Jaq zs=8}+GdP+B}|)F*K~H6Ux-|b!|xt! zLARrs1=U`mVUvPTvK;*;HtkVs9-Ktlv9MV9p7&x>oGq7Fjs0CF?~II^kDme*5<4vc zB4BMXx26WEeEN+-AGOo%Aq;x<1oGX8-Ony1Jm6e@_=a4){{ zJ%d(VQ~^&zFp{gL-HaH{1{5obA>(MZ>$~Y?|IzHyc}R_!^1Wp&>dzQV8}+Rp(to)lRp>W=svfm^Jf}7pzp}H ziagTE57i@yUEG8EEek?Vz|wU-!}@*(O}FT;p?On#JLyY#A?SwAMwy0U7q$kp%FDlN z6c#bAuUaJ|Mta;#VCNxGDC+hONHiA&t*NGUig(Bv4olol-XsguV z59+I4Xs;3>)B{ion5_iVxw;>Q^_#>xo9i2yO;RVgt zSaFHpk}a?4UMcPFQki;~ai+j;K_CXmthz`7 zw$unh4Ua|U?07_$W`&jcaUM~>@~J?9Vb(l>Jc7oqB51oW8BVXT45sbNn2cBl_^B`5 zq`6o(a;+`~X)kQc~k zi>r=GP>3m|$hH_G`D}mQ8%nm-@(O~}4gfKL>6vY82E%6y+rdDfiEdh=|b#r+T3y`@#G*@dmRx|L%}>cdsh=3C~^8{dE9 z4tQ;-*rm+?qy|;RMq8r~GzGF7yN*rKZPaSB>!XjObA{E{UU&!wufhs!c$VLv$+P=C zJ8(ao)(%B<7D;2E&xjTuF?PDz&*m}V&V>NCh@tWP8Y;4Dbyd<Ahxm;NJx30V4uGg@Bv}QTgKf5@wO&^NV zgVgN%w9mVGZ9xcNU=Vw6S9Pa015=K^Au+nUV#yl(!bXnLb-utp?J#fCq;fU@9~(dr zx=~8Z$0Ov6(zoXA)5-s6DSfPy1Ywh<%nWRjz)1e#Ii9|*Q)!sHN0Xm+s54c@q!C5R zGAwn8(qz4^9bwPol*K8N3IU_5yBMqwg|S&jez?qgZ%+--JzSGpCad>?yuz5HH3%h0 z%zzWK`^XBim!?4QP+77U^z>N-POPbTnppOz0c%R#KNID?7$Bil%T1ncV*b)sv+kpO z@&v3bw4;PX49bfc9iAJMTRwS82oB`1BsTzvfB8%>lb``sBv$u?7XaMr_58LHM#FE8 zc}j!6+~zr^NDtv?y5*t9O%t6?`h~@~Sh%25kOfdw+CqGGi&t zKDA@nO04sxHhaz)W~cSD5V%Ni5BY&tZ&+q(=do0+Bt8EtzMIMs9}BqGIh=hH2Q025 zkk_aEx6#v?U7zncBQ@Yuo)EBO-8KKfzBW#0C1inK=s1kh^uJh#%dV#x9azDJ;m~5B zG3hz*dt*?od)`4f`1Rbjyc*21tMP%|t+y|wYg3@pqce@>q6~aq%8b@#;W=MUhNCwSlpHG zLzZmR*I9-HHCLVRO7IH{&5NQ32o2a?p!T@ThsmmoqS%PIa9!=kcTe`j17u?#VY(aq z6Qc=~KuSTPP4-WLXDHQ=9d=;=@Xn@-%}&0LFs;Wy2YrXCwbHc90o`59VC%C8h=?Ku zp!_vJaI;I%J+mx5(Kn%5k&hGzD`iTQGQeHI5?uHA1mFYi-C!0w%7;?@WS{m~H<#q+ z;fbO`OVhH(t=&f$Y27vYU@FcJ@WFHs9dIZ}AT0D`0KmR%ePZ7x3{kO(eKRWx1)c zpMF{L0h`Bft+Nd{VMckCKXnmlbknw5-FNL$ao-eJJj;-^HNX^2@4zldpi&>ih6zl) ztOYaXkaE!Hzepf}j`i{CB%#-=tT1Ayda8oJLyrD8nEq-d+DpFaja!3Fi<@lIS!(V+ zbPxxWF~1kKRQ3woz!4v@Xs`Iy_;g9oRGcM`BnM8-e-xR;gHFLd7RUN(jES#0-G+VE zv%{o|(=}7Z(%t$cxUIT;um}J^JMN#<{Mi)(7U3vktB<+j%U=m7nR3!)!%l0X_LBuH z61+AC*>ed+(4KmMhB~rrVj$(=@EQt$U~m{ghf|Xcvhj6*b&D+D8tN=vHW6{!1tDM^ zXeAIa?ZB4N6it66&Hqk$7tELeiJ%?(sj>??*pc8XlkX0r0S)@WsD&W~IArWTxwc?g z#Ed!uthLMpk>arF&FdsI-SYvz%A*GG4TqtN-u>bOKwkSu3asaQZJyDV$+O7+;Qo4u zHhF*QS$Clxf@>B1&J^?s0Rb>?OU&}!42Cj!v;u3u4N`D+ z$;+XLmc=yPwc5djW!MROf3A1HpM>0u@p>r?!$6OF z`$3+SGU`RYUIH+=S^rDEi`JOUFc$+c<=LDXas8#g4+%6!;zvD12ony`fg+^D=dq;NwvuH%r$KJhdKRN zB!3Ngob=% zl}cI@3iV>5FBGgz?fb&Y2oTZ*BRMJx{7^rDU>yPu_Z&nXa>)x85QUeVpH1`BjSQjx zLj?;0S{GB`V%hMXJg1|T%rJPYAfirRYgAvg*dpHAI*_Lhg-$7AHv2!z`*i}M;i4sj z`i|0Q2&$BkbNnY&b>)`RYSIa?5FvFbJ^HTDb;>XJJ$H zJKy+^`@5|fQgvt3#<^7&=9q=!?`gjVY-~F8q0t$8ZH5GrD`O3>0Gy~%$ZUWXR6}D3 z+wnK9A}_C%!zBuh%O?Gs4;PF^r$&6D3CQ`fB&@;W+4*zExr0-lU1jGBP8RcaQg2`Z zj^``V(sg7x8S5H~ibFTG+o@~%lDV*FkemcT(QX7fQy@2wdEUHH0R`$Or;1#k8jbjC zu!8QEO!5>yo=g>}Af$c$ShtHm2963>*d%ILr!x7uI#tmmQ)~Q7z_w3|78HL+soYHe zskOPFVa(9l@v5F)H7Ry~^R?w%tFKQ-h)E~OP@P~zdpLZ=$In?CisF(Bg(qel3Q512 z;`2*TM{57Wy1Osgt^atngUyll&(NTkhT>YXYxKZ~V^ue?2w!AbP#Vr$ck}%w0Ieua zPyNqc(nI`Xs!tUpUJLLG+F)}x02rLBC&;S zuFJA>sXCvUg{;9THDugEbMB_&$P2;E{fu$xK)+W>HZRFG_a%~CK7Tdrc1|=2QW6kZQVec1qxI>BO+B6rX zlF;?V<_H;Hm7BdEzsgd4EL;o5uIcvE5*)tby>#Yw#{!wMGA8YinHk^Ap;u8L+(%4Z zoz;%vl#Fe$32jCYe&TiaXOG}ra%1XpWrGm&Efvq3tCObe7NUJmyQDzUqH}G8#?1;SG#&cft>3C?#&7OO}n3l$XX zU=|T&fV*(VXS}Xc%BFmQz_m*o{n~watsYmUJT8J(;N!dDZvJSVvmxaa z%qotsmB0_nv;9gd+FHXz$NE>Y)+J;HqZ9ERcpKg(*4LlS;=Zm(oQovj&kqQQG$1F+ zv{xCMe+sz@Y5||kd|R|iDg#k)LB%9HDg!t^E@Y=zRzg zKbc^n34Z6hg(>?S>pvR~VMjMX&=jFI{&FhHTbpo3P~H`^$28iFR?UQjd$sWZerqoK zcP(pC8`1r`{1h@jsePzB^c|sV9kp0?FHwgGk4@e@4M?U;=dIn}wF#Cg7S$0nzKIK! z6vAg+@juVLX_{$Xx$jy$uwyZMX(^W1BWmoe?rs?%Me zPMzqdCREAzF12;X!rlFkY^BdK?q|4VG0v`gDTmC{!Xxz8jg@`H^p*^)lpJEPU=mHq&5( zh`yhi^<3ycpPY3Gi&N!viVf~FTK1HYXLjjfY#*i?Fo2_sAwy1aN{5;9YAq%d5Y*ue zxW)Wg@>pL{b21fAqTt(Go2u7q4eK};Y#Jt*g5xs9hp*x~HZFz&F2fpf zpyr#iYg41l%>>+axVe64%8EMYjX_@#UViDyuD!I4v3(LD2LM8n(k(di=SPD{#Tpcy zGozea3S$3x{lr@yNNfbHoM)f=$W|AN!G37APl*D*Ts+>38e=}^Kf()RTV3wH7CBFi zE^Iy4owcn$ZDgKtZSJ3VOJ7nHGf_zyuv0z9_;PH0%V(ZtgJpb@Hn<*F1?$A2Men0@7E;BwsFGjSG5X z5DJI!0GNIpE!scps&r=##+U=wSvOfegb}fkr5+)FKsyeKuro5cv69?%c(RKUz;#Rf z{719v(ldXQw0nNq^c5Mcv*-1dbrz+)FbLH^H;Df96>a7aTXIc0UGk<}A^;Gh6k5T8 z5q5XU{f1y8+nu#xbF`497-AaGPM1LKW!-}9u0HREWl%$zOP@lIPkI& zUb3~}3aWL?h(h2Ih=t(Y3!c@hFQpgLAR>I4HwI`nMpJAyj@6sBlzxUkn^^0`9vTH4 zat@itG_o1EN<0Y#*M&_K6yK@4^{qjwe|3AhQHsaAMaeuphA;( zlY|>>ag?q43;Bl9{WsrjPxGb^u6%_Kw}gaa)5$ zh`ZAlF&PqvFJc58tn(6R8@?5gUoct+Yv{-i+lQdJG?XToSy5AjHy_Gu53%FrLk+x*^f& z{O^;Fj`)!i$55}D7z`dXt-6rRv;34>q@`p3tD~>ZQo0ch0vE(3qVzBP|BD?n`q5X} z$BXteeg1Z2t^l}I_`(NZun6P7iDAc8cLL1+Ts zlH0j8^FX%HUF#T4>-=BvINKRSTWSR_t6n)qEPve?6RR)7@fOHRuA8C;n|%iwFf^XC z>Y}%TfB0@_no8xC>dS;CF4%GnWzpD%5u)$7^!l=x8qM;tOTuOgZplH^Hbfg>z865W zmjsQjd8;q|0QRAi5n&WSS9l8(XT^}6$|t59s6V%cOO+p*E~E`+6y}~Y@G^jh2?JV# zvLktfW?gsEoig^`9A1RbEMwbf=pAo-&e|@|hPu?CkPQ6w_KEUm9Onw`@;7FzVTDOH%wY%>g5Ws0ngx70e}88#tvG$& zkHbT64R^?z!M1d7s~47Q$JV^%8ZUY_7T2>{^RK70p6$&=I0X7_WgX_R8 zWdKOzw%#UsxGzzz&}E3M?+iM+u{bguET>6s)C;(GV;}39W%{Io(?QnMfZ2htns}p7 z0tB5w=;0fKID#L&GRktiBY86o(y6lh-XQrhC_11EyDI*4!UeJqC*g|*b8Jh`8AxHBaiD0Ye}07y*8evIxAd+DPs`-lgwk?4wY3>= zm+BH)c9Se=2jVCh;(NGTZiu5v30zgM4`cPeaKNbtWQ3vph?;YbjqfgmX1*)D zvBB@wi6{-bl!JO2UaHDe<8;uGV52$TDr`Wo(M@kDUJ^pwC_9us@h_ph<&(AjZ0%u@ zLZ@*!>DJ5wn(QAk$3>`dt;}-dQ7=Ja7I8AnmuTF&(VhC=0O-TUszaeCz=eyv1j{Ml zfmlJK6u9X6n7-fJr3MSfe?FY(sbU`rzeDQ{o>(@A*$oc+R_$7#h+nxf<>8^YHHcmC z-B3DNeThpvZ9p?0{hM^Ybinz0ZZY(~4ilp0>9Kdi!yDe(F!8V15s=pW z)qp6Tf<=oY6uL|`!XF(fmb~9E+3?7JL1e17l_VQv+%DRPI1U5AL(K8||<(Z2}Xeg2JWfJX4}3+qBN z7d5Dusil{Sc)*sj*qOCdd6=iPa716h8zk+ zP89k!<#-IhEdDAZ@&&M~J~V;K_8;s#&z&fR}@B}V8}lP^6L z-Sp;J_f{^{v~?UHaBn_n5SdOCVs6e36J4vHN)lPar7mDZ2ZE%rwz!Ba%fH2eQ&@VK z#XBE7lw`9eWuaV8ojweN;8%m)8#o{@>LaMEYkwQ%l@ssZ6u--#dK5r+#soYXm3Gwz(#&efYM{f>( zrh=35?p-2SsGAiZ8#DNa>^tGx0qkJeL={CGOf9Wo*>{Yj6ciNr3W_-S|Ndqq#aF<= z3`T#mPG#(afzq}ivJ<{R*X6y<{vI&TvAgA|>zo`U;WgiE5X|m={w~}9M9!g+FTo~x z&Y3{E*Sa`Y#iM2N-IUSWWR}C=5npGZhv|2JA#n0JJ=NZ`zoEx+axD;z%)wZwOMvv^Ce_54glYNt|m6%X~^8l z3{>+JpX%EMn%$y{KYlje?J#2H$1G6!BWCHtR+Bn+WOQ6<7ze>RE5;@$Nz)?2N;`scPv2)54M7kYk9z&}%6rE&=5$X;JA z2v7Gak!IP)LF?C8jLPU)m&&Ug7u(nTuFc^HnOFxdAhC|FY=}<$q~KO~x}d{JzFI_f zBqRgI{&r{U*lE2?4iVZ_%Jf#XCW44-G!}9X`wf57KB=b^a#_1Wgi9yY{OtRlu0wy% zMYB{@fO*%eWikwQT-@$QFe0Fw(~Zf7dMXoL6Yz@zv}T?RJiI7r0dnn0rDM*@-msc9 z9??-+Cy8?4OMEM#^u{}atL_!U37B^&3<4Z^)ucACnAT+!3P}a4FMKm{nAIdqCr+B* z47a=WlZu~x3ybFRDeuafJm&KvgzTDRPGR0Qp zP1t)SPh#B+;ZRIAlSa2RmG4M)u;WkID$gi*X6nTgyOaN-E5{juBiQwsSU-$10;Y8x z-;98jGgIaZnUest&i#IYf0IsF$X3A0n2UKGg<_#Dinb+PP&{s2TJk2d{A)n$ z`R=PmZt)SZURL0t?MNukF?I4B)HwKMD;%zacSv_z3eO9ZFEGEUJ8A~5?JPx z%2fGn?QI||EW~9*!8+S*{#*`sI~y%RNC4H!AFrm)dY!ed;?BNNQ+rYgqIwbvbn|RF zPebE$buy`)SL>c@i56K5`x(}J%8Hu;*H}b|fw^}F`L|t+0Yzez-4RA>WWuE_SEC!*Cy)dLhM z9}0CK@|U!svxc7hX1chR!33d+GfTs1QjL`18gdwNFMYLZRbLP*#W8l^EVj_E9zuJ5 zQOk)tnrHV+Qnx=b#pcTI=-J+y)+5$opW!BPvKXu#Soai=Le52YTp@&TUZ&7Tt*{F@ zJ^xgNawjiWVi`36)8?w&10Q`QxhqBR zwYBX@3x)mj2bBSZ7qiR*G3Jig8GGylh(xi12}b4mzfD2~^ixyBQg~^LsC;RlYRfclD<3~ zs`vdrg@myuOe(TwX=X5@@J5IRS+a*2jA)p$GnTT9WZ!4TQkF*cu{8F5-^y+njbxpW zlI8tY_|E6|`{P{Kxvuk^=eh6KbHC0x&+ERPbNgy-KP!Yw%*E~I>~}>KZe4d8XFUd%Tr56M1?LpY%I2*v-e_Q4G2_wvJ>3kyw(<# z*8Q~WSQPN~G>hda^APr}=nz}&Be*9bj5yX+A*1lwUGEIJgIbvY8VYF->61V9TZ2{fBnO5S7YxQ{L73oEyJ8?x!&7HEQ!f zk)lS}VLLW7K-m0;r7_mQkKffKa=+opQ1XrT-SCRQ}}K?XnLK)x%?n^c-Y&68J}Wxk~ylk->{h6 zS-(!fN4ku1UU!H{uX_tcVm%0MZ_D9ERmLwuZ^ex`(+9qPl)D<1VFv0#nEU0RiJj2h z2S~Njf}e%v2Py)a=)Y}qkbS_sy@|SAgf7ppnyL^W@==K>vv$L_n0`v1cVuYhjg#!( zp1soaBRrg4|z+5E*4xwlT4a#Wly^ z?EZl-WI2oFA2rwHe%-{>nV=Df9_5icH8Y_1xwqN>#ieC+{I#@!T1dGHp~_$FG|9Li zNju-)zj#vd@BVeLNPA`SqrR8)n|;3aWvk0t9;K)c(5g%$yGX#)u;j2)MwWncNcj+t zlq9?LF=6(I^PU?l{-J);ox{AJYkK)aHPm-&Rl~h?yP&E|11k%TQYymc;YrMO1eqHv z*T%FlD~ry`Ah+ZUB$nRvJw12C#2eS1GUWBgtur2SSCJ%49C$G!CohbZ4ZJ96V+dC! z_U_mIMB=Vp`dlP#L;Rk6vD3fqW#cv#q@Xo6)wyp6)4EL2cTq3EX)4GlgT7dhYL5X^ zM`Zzm!wHda^?3#2^~|=D0Y7LV9A{oqQQ`|n;?mk&V!W|*8&%@c<*DC=g8K1v%Ld$} zr%dN!tPqh+2WG9y)1!PgYGKo)ej-J5T2)YTRVMlsC+{7S)rcby*(f*Mr=e}jNLQ>7 z(ftb|`!>T>#oP{Jhi}NPN0IzU!8Y8ClZJIQB7r=_RnjvAq_o?i^sMA@jU4Y`{%Ftwfc944>h{!|)vyOtxhS#U?Of zU=D&^(h!C)th4x0YsJMsb>LLngC3$Sjw6{wh&c$`tU7qeoC2mv{zC^X$KYF&v?@4E znmv2R;F}9%>$yb!TdlE10hw zlMxGNzdY7+;C4?mIW3-NUOdlW8qWJ@A(iWKiD;F*kjlo!WZL+Ar;ha$f*6p-+|3p4wOChP~GJ_v&TaPbzLU@ zu%#=3d#;nV!8uG$4l1a^6j(>E#=NmW|61bbWaHQd5q9TBUh?NXKcR$QQu^HI=?0`O zzMb0K#D)#R{v#q<*CO&g$htbd{YSu)`tbisQuhQ+A)kwpUvDG5dy7r?9YS-CG_Z7h zd(x@3BtQ>vEhyj2K{N6DMTk9{Qjece#jNXL{{1Ns%v9p`Mw7W?dX`ICfbrT#zI4Jk z`a^8)Un;t*t9gBPtnT{*W>l7AB~uI`$MSc{WlaGAb4N%)qm%NrVKd)!Pgl#;h>CLi zaC1W?p4FUuIkGhKTB+R_c9i)=iiG&W+ZmlP=PRv`4DZn}iCSGFWy^qAyH?`tC zL6TZrssPNbZMt~N2h6X5!$~FK1oRi#2PDUg0uD%yv!<%f@EguX99>#(G^wM^@L zMfl*pm->A5g5}rl?%4@Xk@RSf@ofsH!<@*>R}Ck_oPYiE&nBw(>^NK7EEO^`Sy+C4 ziA}urFMy`hES>`XNfgzg1L~ACGNtY%9jc~fF{2>lTR|7>$KD#Z@{#S~E_Y+_T>x+Jgl+e^(magzsB)-NpI%o+W>BwA#pKu}E*rwOBJ1t9KoAt2V>& zLN$3`AvvRVOw4RN2WHWSCYPvscNvCYW|AtGw)1$PRUd$5(0f;&SlFtjwvD9vN@3m( zQ_YsgTyGE9K3k59GmhA5I7;H6@_RMf<4oA8Hj?a_>Y06}5jd9QqJxxyZm5~F()`^5 zy!0)K=WIoI-BBtjvB3p%3uUoGIUb|k6=h5K=mL~Rh?t6Rc_lRDh1$_^>sx{E)6=KnyeU0va1+)z+oNIBJ#Y6z?X`C|yxT0iNUU8L6koTXA&3DL~^(f?>}v%NE|T#Kb8&ud5$G?HEi@c?%~MOcjnI zS@i{hTix$!yGNPhp}rrg^B72dijuunqfGht8P^s>O-3qAU}@ljR;`zNJ@Yezi%b&c z5S8@q{aTRjJ3`-6RTX%EHg0E*3mrjB(H6R=rS#ae^HC{rXM z(8Cj%wL#fE#0QGlS$g|*mdY!uIpnS3>C;4*lip0M+n2$d@ zV@lM=MEa&T`^Sz2_xF5J$|8PuFO%mBGRs*IA!2p^F&rFERFNCwgzf>*7RzJKMYWw| z5v0EAq)*3BB+1P#|Gt_qsyb!|UzlR(O#;iploS9^srN5fE?lwjOJC~&QEU>RxI3Hx zC_+uL4&}fKob-;T2LvfK*K|2ozV)6>yuftt8df;{d&4!{)_}or^Mr+5NO(c8dHA1y zh;wixb8uPqfNR!PByY5jTE@J2kWyr>9vg&DKSesy^iW)>$Jqq~Tk$mXAu)t{3S&`^ zdT+}?rZX{7uXYAVvU|+F1k^oWPrLdh7xS#zMDEVEBu6xz; z&EDqG00uoSOnNeavFG^mbcQo35rb}@u0o~>zUykz)_B|&v@Jz`cL8`3`#j= zsPS9D*q2}utbC{90V?Rtx}_r|gz7a}LdaOpr0M7ES5b>wH~!w08k10k?aHP5m{+ea z+*9Of6r9>;wFqIC){8jP3%tMF(w^0)%fXS(nM~6537R zTZ7?KDF0x2Jd~=JCrw&9xC`-x=TC8?%x?2_h94G4AY)46(uc{5yGT9`Y z_GdZ&uI>m{qR`mRZZVX6)D~es9p^nzOf*>dUUr+lE0}xwsI#k zGmRans;^mfO*`LBtH+#GBrF(+ zFhB~+N9`0LhNv4%V>9QaJLw$?AO8{0^Drkc_c*ttT!JaFvr^sZ`XoC)7rp?MrJIbZ zw#Aat9i|rt<)I5-fnjcL4AJb>&aGB_D0Q-!V=pUG2-Tshs`J)fmeDauY}Zo>8Zzj{ zK<(ydHXC*Xf}O?An4$Xbr|{$51QNV+y2;{o^mooAut%)jIZc>qcMgN`yKaYSqavi5 z(9k&OQxb2nIZqLAjgyQ=qUyWw7(DcdW<8l`SocDOu{DIz4nE6gLCQ=Q`I5zQmeU^j zff`Fa)Jl@B#(t!=+g;( z)xE?J93T)t0gW(Px~)+!;`3q|k4D!&dIFqK!J#mG`~T7+(zE#=T~@|^hz5Jc$wuumVdeOjqe% z9ME9uRIwZ*s(3AAiW!NR#8)`vCV(t#jI|9>0=uDu5T6kG^qAj)g{ zt5!gmO20ssVb^9B*jSqrO8Q4kT7{y?mUF7XSp*!?c)}QGvn?3Tq6mQc6(uv$Y!n%H z$6+{QlssbaPphb%vvcRpot*_Sg=Rc?V5u!I;BGvEexfzGtvhzV=J72O;>&bPp!;qT zE%|`fLKl1yC%}ssxy>dy9IEuXF#pZFi$$CvmqI?M7LZ~?-rT5-{ct0aJ?@|L@t>~l z%6ls+-W}Z@MW1dg{?-cIii;KC*SQzrS*HPV@05uYi;d(8E%nYxuoEukJM>;eDKT4o zgZM=2u?Q_in*-3Ar-C*y@p?ikk`_t5=_o1NNSmc*X{j@J3kgx8-i&-2Kz%^^B)kh+ z%&`mlLL@4dn%GR@L;{l_3oXLC{a4=JqyDS7V;>R7F%*5rdSTb;a$uOp3b7?V&b_FU z*9(2nf1b`~@apR5DJ^RG9J9XfUJ&b>RiQ4$Thyjv-i&hbI_aQunyr7Y=BA@`ef>Pk zM{9pSxVf##uJMCEgG4Eg95RjbV@;6r%Y11Iu=+6#;nECfE&LXk1o6lbl=}BBCmz>=E2oe)-zLbu1j$S7{0wYhJx2}|Q4#OvkK7>b5 zK&5UMv3xF?LOYJIZG#sh(LwFEZUiGH9H(mVMxyZx(5cH$Q%Pnzo{fcnmaT&{i(F2^ z&o(K8j}RmQFMAGw&g5Uco8!)icO9%cS*gAx-@sqnkdnse4^L&T#`xHPXB3@Ex?3(O zq9tSD;E0pyySSf_Jfhb4-?Z;^`ETjvVDuD#Mb+dS6u^ zl&VRIdXZna+A$?OV{Uy(op{sS0jPZIuo2_p;5l=Y@~ zvZl~sBWhEndY7 zL+M=F@wm^XHL7=CwuptJ+Gg7v4?*?eGU!=YxO*UlX5Yn l3x$~@SM;O`^`>!^hE{B6z`!}@wT{^QoOjk6)448++B;iyA_8PcbDQ6DH`0}-Q5X60+C1Wy-z;9 zwceMvk~w?!Z~ynq$xKdcCin;l2vwMf&qo9Vo97$%v(+$ncCmJ_f6K|v%E8Lvb-ITHg-CA28oeXu#)kMS2-Qv!%>vq*gMZs&XUW)p+m-&v@&U^Bdrwe4@%G}Hk zgS&5fg}v6aQs$b5>+6+Rmi_$cRx5MCVeZ;cWO>Jn9I!4>)XV?`dM#Bkb`J*TRGk=KZ#$B~aV1{=juypxH zIm|8g3z3_TR5b*Ff;>`1ryDr(?X+heiJ8x@79G%Ly<~GD_MEcm%}oTdT@6g^ykZ%x zt%GJ>6r+5`Od?!T`Oqcs`X{bANlU*|ryDosz3+VC{?Xkrv|VkLo!MYixv;6#kG+JG z&OpyWmqb5q@V9Lrhk5r0Sc+{c{gSohi^*#|~u4axwLGJSgOkwVUuUVRezy801(eUV$* z2sQfQr#~k3eji;7Z&cJ2Xw~D=AD-tSFB;NBibp-&WlgC;|Ea=0g~@!>wz%x~}ijtb1KrUMZEDDy%Bt zrFW>m@7aD-Vs0%mTgG}S)o37U?nW}Q;DxvEv@mK~q@oD~bq>_Wu#z42gCGB}nXg~7 zU}tKi3@T`m<(hkqx$52M4Z2YjDZEQlw?Qn0T~a1mrn?x3xCzZX)hTx0QP>J529P(k zZEy<6`IGSi&2~hc6h7SKzJzthJrJ?cOnz$BY9G_RMU>bz_cu!HcUG7?%y>%#C8{}e zmlu&}TTrex65%UOF4tf+P2Vm{Gm5YOvwjoNicO_Zfwr#|&uE?9W~jqvYU9(vc2ci3 z$@De7x)8WleYk+1`&z5?>S6A-)JDXUQ< zG)e0eHptGA{?n4*mbB{zTTNo}Z0ce7(GlA}4SgBtX{DMXEEXxa7XdCw#WR_JFVrvT zsf0RFABmjCgVx{HVbw_4v}x2-292D)IYX68J=Qm@iP+zjOQi-7ik02Dkx*%e&f&8v zxr*#9?x4+!jyi@+0Lp?!?)_`YifVI)A4T#lKinA$kd~v?r+~F~oS<>K*x=|7x5(zd zlDj5=B=q_er|4!?xmb_P>Xu1W#!s5I*L{L!xsV^nPC%{W11i2if`T<&6`ddegL9{&5Yk@V(|<$eevX7=9b@%g-{= zKH_{t@2=t$&U^$IVF-YxR|d%15*_^71i;7cYEJJ}$%R>@0e}#HqQjXQ1NhG}cu~@$ zhXnNS@E1Vf9R3<4L?aCl$=_Vg{0fN3+eA|Vtr9&}t;alG`yJh=fOduEZFmd)@5KR_ zDj=a(kJ+|!HKbWIo#>D-8DHA(%KZ3683pfeAL?KVIi?S18_8P(+624brT?&K$>BYdZtRB*sRH(?>P1o^Iz74vNuKEUg2ercjlqqjs= z0}96RPz;gS_52Nsg$;Z7Hs|>%Bl-&RN<9TXOkO%=MOTF@mL94C2J=?aWuOIzvYD_p zsbj9%`37K~6LcJ=-i*6@xhw;YN4YbGh@j|VXDVGkB2I4Sx`D-zQ*IW`o(gt_o~~PA z4&gJ*b$_$`9~W<**pA%GzXH6Wkhne!TOM0M@Vwz1prRWR?I-WW!=iVt$#hCTd^jNB zimgU32K{BpVej<2Z_vnhJ+c*-b0F0UKHuQ@g(bJu#q<{n{1l#3x*G&z1nLhWt*c&j zUpME=!HtFCmAD6k5Z)nJ*?XU-(?eNUTp>%R3SXQ5E%-@}!KH`;rko>prag6O@!Maq zE?ysC1*woA%5HiEcU(TKw6=P6D8?KE`|2PD-3_sLLi1b26h8m@JZ9^nZt%~)*+$pH z9^tsU&w~d&)5YFH5*!wgd}9dcoN=R#U);q!BqUQ=31B_h9G>wvAo}k-)t*9bQsqT= z$y8Y$4B?tP)@T42^SS1Ix=uDSTppfJ@)@2_m2^!{{3iTiCC#w@W0k>&2g5k3jBOM# z|6rStQz!oezP@h~lk;%KT(M|wbJ%tkmB3Z^(n`|p?~A0zkkf*OT^#7-j+;7Z&f&tl zL4BaM$7g8wt>KE3EL37$`l|Y_ZLclP;p9;tNHM5?=K_r)dIRBF#$SZ!A`AMh&AE4@_0=hKIJTBregavt)1nL78@ZG3hKrP^JK! z@k8_fYjSZQz<=@fk-vt<=J9RW!D)+-^@>x9vXH%y*wN#zrvaX}|0J61J8Py+o1R>2 zxu7o?G-K|0x0@>YqHD8&2+5JnuqCOl2R!j!_UettekvvS-NKd8*RMlKmYP_tts`b| zo64N7q(DRgfM_xFep=4Yz`Xee2yE*_+llaR;AlX!^0W?&+<%=}&9S8B zKjISGT~cG2d~{38tWg5;B`Dr#OOFTv#ra`TMs(JEAQ7`(K53;=uEesFI;UD1tEM)c z5e&IIdu+XvPl=`KMdwvz-zzE9_0l3W%FbtA-Y8C#F*I@fe&|D9OQJw_Jb5x$)gb1= z+WzgBV{|Z8+Lz51sql(@?E+CNo^a_6Uz~Qf5^QrX?EqbO*=RXR)paX2ZA%AvmZk1k z$MX0I(DNimARHk3(~574S*}8v-$^07>67gtx@ly0s<~s^$Jm#40vJ}I$T+_WOFCq! zvU+SdYDz*45+*9T3M!1& z%(kRbVn0y((C^FcLaRT@$!pe)#EO)TE{M$Z0@O0($z_>yFZtK=R#O7 zxo&|$-~A0oxNfai95rE;`?)YY7jo2uCZO`HrkM&6EALY!$Y{i=W;C9;tJqEj~pC6YJEs&Id4l@sH9RKQh=v|aSTw$7DZqOCn5{|xTFmpDZ~#hOcuvUR>hv5dih*8m z)N$@QKSmEWjDBGIn>8{9{Ocx-|LY!&0oSg>PW>Xmp---6p)DQ#FI~`xXvWT&WzvKi zh>h))IeONDp5asE>jRu;xGcZd$j`P#g9#R0+(%8&{*gsRD?v#wgfw&>{XF=1*l(Mu zNX6~hxC7Tjtq@v7rVyN;auN6LM3ETcWj(Vde*6$#z{1KCrrfSeF-k}pq!C^q#`*#D z3>{nvkx-$GztZ|?RoRc$JV>wMU8($@X!>Kbfr6 zJwqYMH>Pn|?G-ogc}qI#<_}qqmF3CJU&XKH02_MIxUiZO$h7RHi)f0^DkUIN>QvXWW7Ff zBZ*v)YX@Eo86&nzg`=b`x(HuTYQI0YC69lSC5-eE8QjJBA#GwXd7T!UN$b7#u^!>Z zJ8sbKeRN+t$KbBab8I7R*NJ)f9f!l%^`CUJlp_wGCWM=T=X|;6eABo=3fh0QIsa)j zhu=+8xb&=kP1KI?X|fq;feysDppkP;oby;@39Y3$+2?ZXu5~{{pBR!uoM+f=SPP@G zEH{BF3OhSwl?>#l5uG}46nR0%JFkd>$n?5Y9S?ml(0LycO1I)ng0Yp382@yn8HEt} zVAwO$EwN(>qcjuZ*%Hg<1nWkj^J0Be?w);?>z6#Bqt*{Oe&fm#6h`qN!n5VS_r$nh zqT~12>zVZnQ^*!_-hNS&u(g(mIY~tLChM>J`yW@C|3A0+uUk>2wa2MX_Y`aTHo9TS zHT|6N&odA?JO0P&ANXLf61FJBXL|o*N3n8(qorAAk!t?6oDrp4CW)h(Jf&BeUoIx4Ti- ze`Vu#%H27M(WBABxGZTo2hqdp%c!6zUBbExdFg1a$ujfTr=4FKzTd`ZKcmr)&*=MK z^yx3s{Hywlto|bLzexSBO6nPX`iuDgBGtbtspzF72HM3EFbCQ!UQS7~y{S_au7g&d zLE;G0iimerfyiKudV>$LuCz~_afQ`ALsQfp`Jl%1MWQXixj;%)!Fu5`(~66*u5_Dh zgL=bZT2qvJ{I`muBqx^KvbxASt;(jzJN>F>Iw?_EAYw`Voa*)cS*Xy*BpASbqyM`p zYJzIqSF@_B;6|2f)6J&h2r@n9vA^C6x-E5clzD`L~0-Pt%CRJ zzT4Tkbz2?O#n=((JK7)ACH!ZT{ZxizeYEz=n%|I-Rj&?<7}f#0%(0t?!7qE^XfS*cgZJ0aDZ<^OPlVEG4jjCn z;cs6hn?Sx}%``?{tCe)^^bX9963PTm-tI{eIYHUi4>cGr<|x?%HB@KR5>0%k&V|;H%1Pe7-m|%TeyGrS<-CZrBE-rduAY26x#^-jw4yMj}Qf~^=T<@S()v@v~bgX1Y%I!&%z#5-MfSKaEDcS{E( z{9HO69AB!j$?^?@g~4n1lP&keDN1-R!y~QYV{qL$(AFw2|LXwnusv57yHSkA&)LxT zSfhal0=kvomA}zNH1p-K`Ilyd8xu4*w%7;84y_=c49n;z z%eH+*1XRmZ=o3w56E&9iYSVJ!9PP_gXCTB>2VOyyT_;nyc>YEWQ^kMKi(rqf=Zs%FU*seOzN0L_P#YALt+x4 zY`-7>Jjv~ZGe}i+`dliGqoAwkA~IUyt5RH+h*g(Uf!SG);R@N3xm})>ZbGbfi4a@u zAV!g#A#)#H<`G{x=T;0}C6n24 z;6c5yx407)IjO@`W!zhNmXg+xbP%7i#n>L2B7 zCto2#sGC}`!lhNH;zdCZCMdNQDRhB;*r(l1@sqj>{ZY!7Vq9 z8bz@M12SpR7GJsqkR?%cV&lH4<;@#v-nbRCXawl5Lfq_b7Uz8_EarH;&S|4Ls8$-+ z4Hw-5^v5;HRU*S*z}%k~6B>J;6Ofn7D!lw=wC04fd5CTo=i9xls^>SW^`8~M#l}%F z99Qt^%6W}VGoX4M*6RLry0Tki6A!2sfwkW8yX}`NXJclKMAa+VNo$w37TBWtt<%@Y zbg+Q1Q&UmKNJPt?e_P-Y-mb`w>2aqf^m%o)fp+p^wX0 zur1N#NN=u(kSDXhdftEZexlJ6t=XD<(>qEwAEU|G*os_aqRA}#7IrRN^os6S52e2~ z{F%)!=xnL`wk49iz;jSbx9vC))s(fFT&U1r_MR6Z`~Lj>tV(ko@4MCcvUj79 zZcS?`!&meA+h0B!cvT|0HSU(D>i6x81q#Yd25!oY1&x-c^EuCrY* zx9*M~%Br#9_2YZ8hqpmx`|PdBel}N_mRIRb|A^2eybh>zADzs1m+DyhxuN|jidsX| z6y93HX>x0MwwV$GJ?;DvdHl03U>x^X(UO=cJR_I8Zt=3SC#q=?^6B$uD9KjEvWk7ZFL00~_$Z^n8kJw9}ku*JqXMq&1Ov|`F_S-_rXG*|MCvFx= z&F8@=k+abYW;+$D+{K`qNk5H>mFqu!&fzUlFLEmzm|T@@|HVNW`Wios<55DT`SGR$ zWA_;C_R#l~_~vY7iV~z8aYA*2znVuzMgA3Pnqx`Eew3>;FD8=X-^CW}`U}P}`6m~@ zdB9VMOK=^N@^xiqFU8Ie$2cYmjjU}}bX-ajwV7;erJfLa1cEgZw4aVRLKHID931F} zFX!y|;;@!`<@imDaeOBveuNfo_8!@iv(3bVTU{$RLk6Kq=UJwMfkgCz9>P3ZUJJ8z zL;M{{3tU^%_m7pwalhqmP~w#rGdIsc!Xcin{=|f_)rVhl^R>V^Lil8RTpvzV!>7S! z_w<>Qud23{4&|dJ39GO@LH-dJex>&$bB9AU_c=n}$@;kRm`~e~S`jC=s>cp-E>MNN z#e@a+t~%cyHUGLJ>rn12zW#;yh_6SiNGkqa&U+#h0KYaa5qkPBmbW8 z3&Zdd9ak9(GKth5lA=j%mh6E#Q^c?zF*E~MGe0j zw5hJpRsj*}zR=zwKfb)6Y3H$0^TMiL3A4^I4wD`ZGf`*;N4`DG|5e=E8}qoN-cya+ z3$Tu_oY8jFPmR%DU{V>lI~DB#rfOKX zgjH8~$p%u{Zr{pWaItxfSuXFm6`#usSnq>4QWklqg;mC*RkdYOe%|(RcyE@*urgcg zm26m&EDS5&aPwS)ICfk&B2HQnFlSu=nOVNED}_`Rx7+o;O&o?R6%CpxE+3EC#;=KT zEcrT{RJO^`I5jS)@6s2^>Wx=WYmS{ndq4XAe%uo{lIq~!o;gG}>uuoKJGqBw(XsM% z6>#{X=SfNnDfkg=?}=vj$Eg$nNjfSW#xv~d zEv8|oZdI?0Sx8c*(rsxy=Ghg0ck?h6(F$`*i>Q(oaeuN3njw)?O)4yOMGfI{d_f{t zoc!+KQ#x1QNHQ&7n8X7Z@~I$TBG$3CFq@ZClkUc1Of6j!A^lOtH-SAkxhj=Un6>P)xk_h}x&ckWPbwDL@mL%O=P6tsgv${cL zoibnIGj1eF5hx+;|E-ckgu5JJA*)hNU!V$&E0D`R+}A0dpmaY3?ga!`>w?{xRS3Q@Ex}0BG!QMni6y0*HZp1C9~F_vZbX zK@fc=xceR;ADBH9AQZle)*eA{sW?=l3FdnXS4|GU1_GkC4Nx~^`ZJ>-_z+_3)kk{n zy<#S~&z@m1u+>jY>fjRp(rM2_6D*q$5Iqur3#R8_7Y)I%zCW$2vtLFNRK~bA7DFJt zLyUJaG`SV^;+*swH}&hQS9SxO?D}pv@VRA~^UgPM+18OuYQqU0I=UhfjQy#E%iMnN z0Ei%AOqYd&#S8$h5vslXdme@-?WE#dkw_qMpswB{u^>?EXp)<3mB0!ug9j{Xi>3cI zvCqgmIEac7^kNfC3fkq$bm9SzR`ZcOTswC@c^F+OM8sPt9wK;92pZ!+9$p;H#zR-X zPAW_}lm~^>-TQG-bpK+Zjs19FqY^VCp>w)C>K*$t`|6o?pO*d1EHH^j^FS zY?0vxWsis&?zEK>2xIeX7*|OywNny@rEUeFg7MU0szWuBFq17M)MC+Ggm}L@mp!pK z;injIz(?4JWHH z5$k#g)O?(JZP@QsO9Wz_@(A8|IpXp4!HV_i7%^#o(QG;3j9H~6aj?_3xnQx7O?$KA z?PW+*GYdv7mZ_;+u9%Q-$ZmN9)Z(^WS9c^^S5rt9?K2tVJl~y<1Bf8$B94 z8a><_+>}0C=yBRbvNAJ9a9$$U81P#p4&*|Mi~cl(iLA4nM-#fS=SdE=t-aKr@gp27BOBHy0RE`iDfp@P8>RA z{vxVrUKVrb|Ax$T@9*{*+&6UC`~6uh+rpSDQ1GRz{52R>V1m>NT3NFwm*^NFU zdUg~#(YhQ5$l&2Hn>PZHwLBir6PEpE&Q#Z4`$P#)30SbkybRIp(IRW4;N*hbAaCyvu-`i&%Jd6 zb?falH^KgHdovP|GC)$qY*ylSAc)}bdZ}cKBHFuo&$Xi4AL~tKk49?%cX5nOZ3ji1 zxZwj6v22J%BmJqhMysm&+?Zfyai+2en$g-+N`sSJZh+7gM1KVeZhq=@^!($u&vOMg zEQ1hDzm^TTmjeykVp&mo6jKDji+g^bRn%@GL3qke&-2=C5HwyD`m>C*oUp{F5;FYH zeNIHdC>I0ZGYWose+rOYp5Y4$WGKebhpANw%fJm3Z({t;7l$32MpSLdw9i*nI_23W znjl(x?yla0$lg8DC0d>X3Y7XNQvyx~I%l}yN&@o&?Y8{TTwk^42H!IP+d}U7kE-*; zQ2l6*RP%ftw-}Sa0>B!S%hWufU>GTAJ`aGhj%My3%n6W%5o|#bLFRd663wVkOa>yc zoR1{DMi`#D+wWnPXKJoM;cRT)6sOr z9^)n$Wnykb)VeSoN$2^5h=oFA6ATf(QI62_G=o?X_kCY_yrhelh2wmf^~s~!d&Z(m>_Sb6`8YV*J-Iv z(Y_v1BZ8K@)=lIR;tgIF+NeG#rM}hFd9cHq2oW#<&5G*z!)QJAoMB--&H@?n8;*9w zhQ?SYyQP%5k~x6gyj1e%m(lp{-CxzPx?m>YY4<8Nh~rDb7M^(gJ2>55K#`}@Gg}@dWc#ruYL;|*dy3q{rWKhfC)a% z^I+P*+H;WgM*wXS#P5Qchp4a~&HIIr!K(>k_#ln`%vcD3dU6RO-Jcl^86TQNf(Gwg zH=QXC#RtMFcE)94h6#oU-XS{_k-~mA3|}7iN)rQck4nWiD?HmL1a#%Ui!Wg&X90TW%5MW2N7y7_OndQ&#gzeH{=Ttbfp_uYmx+eqkmM77s`5WC!25Zr zA=L=b?}7sa*P{smR7b^m%o1#f`Y4aaQ}cO5A;D@&J?@DkF1e>?bGt9PY2a$MR8iMT zNS7g7Rfr-~AlIoI@l9OsYNjV-pt{;n{A(z(=>vH`n$Pc|#)OeOyOHxh<7)%sCQB+- z|L3;E|Mn@4n+3V0txfhd4p8Jl^LVBVp5K&#Em1z=Z4v;K6~vK25nTxYrZ)nEA?6k{ zB5ue9_M=#yPoOAjE7{S{JO>0Q|t@d8T=}$oen6 zS&op8=JN)F{P97^Q_SMZ$Q@X&1V<4P$|%rRN5^@GLDeWCWFVwG%itW`R#ec7DOGVc zRDEo3#-k_k8f1M`Z=UA{8uKtE#Lxv%M|kEYw@Iz=K{8VwLH?9Ur|!*x&MYALJT8gF zpu3O_#1%{(BtNpFMTs_vOT=>oC?P{Gzze}uZ0a)TSx5b)dQ${zyI#$}q$p^O2h&cibB_DIVgSjr;zSmC{w^a_g0i!ex_@}|zSh3nn5aV%H5qJOrm`%wmQ z-h+l|vcF>tH_d%nwrrBNe61FGjwycR09jet{zp?Z?P9X%a3Gp#d8`0Bb`~7aClI z86yT(&Evkag{38ibeu63kezt_Ku~_?@$Z%uYX#nxUjABwS6qja2fs==q-$RewoYw- z24;2kR)oZK58z8gTTn$Jp_&d0zWd@7cyIg1-`?{?D=Es1Mm_SaXM-;0i2~9lvK#8>m_c3jDO)a}o3)yB7Sl=cqg@hW}Af_e?vaKgaDv z0dzMd@DYu45q^DP<{gb^Wz05_37I0p&Tv2`4d_;F%m3_b`}@Q$-}5Doys-}I-rW*a zhLCWngL`AZMV42{?Ehw!G9K-|?9c zSZ(_xp?i0z|IxHwPCtuE5Rswc_9tWbloMEYglOP5ae1?G%q2oAraRi9#^G%&rLf95 zS;p6FE`Dx)OIX&)Q9Fs7eW5SyAN7)ZySt}q?R-zGLfx}swA5-mkOY@r(#voN9_4W%W61gjOGB-pDV{?-)OYpY9cY$UWw>yvO!Q0mX3BsON zIYBAol|<1Lo!?8@-FVV0X48V23% z;24cdHY0w$h=eMRQqqMh1MGo9?Jn;whmgatE7aDWcs%|sTaxXdofjkbD-=`WPU7JW zJ1-_Qk3_ak-!3B?e!-d`y2ku;C*vzti6_qL?MK&wmDBpCc z`pgRFQkira<`9g}-biiczGjk~UWxf)e0YQCM{*Z|_Gp0{_m()?G_kU(0!)hm+2 zWBq~WE#c1~_A^nH2@v?~IQcUG_7hc4Xu<_RCBl=od5daiB*ZP8%&C($t%}g0DN)E*6bL(Pscaow%oT$Jw%D zt@DIwDZv> z7`}vUDf^l-6{0F`T{lg0;6x(NM0=CyPl&4x!Ey|Z{k#gZ^W7atd#BYdRD=m7*ZTF- zPrxKZvI!{n&NONWpVmK-3@rcF<#AxPC2S(1cMrc+T%yz*kk6I!^_xR)*KQy%pGetr{He(grCsb#qz9%HsHoSw>#kaThtW=8?3kE^W2jexkndW!no8RtCIa z7sl+_uUmF`>J?fx>=tDzA0s0twZSbdXgK3tN@39#$93;1 ziay~_q|2+4?bXU~TR{0hX(*DzikK0R$e<|c!_TtCu*IaT-_r*KJ%R_yG%+7A%o2$E ztp5D7pvq*3dG5#L;83BdFBaQLC{&R``PnXIc;8s<= z03N8#nNoHCgtu5pynyFD5@)>=HNYMoFu|)+5|(|R!R;j-q9tXpo<}ha*FJ^y{y*i4%T@l4^Ci=WmkZ!~M!Q&%WrSAOXxmtv^gKbmYh5>hqwRKex z1H{KAqoE*CK^}9kTX&}D`Elv!@MGM`{X96~)0~@Q7H7$4+{~b>wt9uxAJ(+Sr>Hkg zOc;e3J52Pc%3hm0G;F5_y$@!+qxbrZE^yZ3m5!dQ)fiG>ZS0kWo4)K4fAyc`BA$vv z!Je(LV#Le_3pYJkVrBty+ZjFRfzW|qufOR4UDc77tdE?fXJS{k(a!_H(+^HrXblZ_ zj@_vjJ)^9R1AlD$88Djhr|J5}WoMrgH9$p$*vrz?5(N$` zE6)zzXG}DNr%AdhZTjr$DY*w0iRXS%sxPi^5CNP?Pf9v{SqNzA$4inW#Sn`4!ZxUmS z7kPil^#xAN+?IF6oeFkYZgJ0(!|fb4BkAGm5!Fx-#GFJ}jd9(3!z)i1tDE28Xb$RN zoY6BL^(p2Q&W)4EFgN0>SQYHGUYvF}9zSWExY84}Ct9dfI>n-J&eur6cuKl74z_iPOmms zkm{1+>h@Q}E!q)MQImZmWpNOpX77g@rq5E`l`W zba2(xc7Tg@OU1!!>e#(Cv}U~Uq&PQv2L@a>3w@p>`ABu$W_kqAPn_QF?7|C28<>h} zxrul}6(vtR>jKss4XT}{XbX0-{bd+keVJFwR4 ziLJe@JMbV+hI4&z!;up56mAKc%=SQ5%jUahcO_mk*>XIJPvmW5`*KH_#$!6}AUU4j zWQug7BP&>n9TT%n#^Zupk!c3|j$v_cQIqXKL)N&+oXtVy;5n8lBrHg{cY-9u?$2=C zdG>?<5800~KXBFAJn*^(Mw7QYg`i&qohpi0i`Rh)hnD`yV_=-oH+rDf{#>GCzo+R! zb2$+Ud9DTQ$@q)#0m)htH^*Yz>G^;gYeP?gW5gdCF#VaEr=DDB0NG?;sx@&O6>fhd(^r%6fhavz7EL0PVpJk?r$rOVZ;L!!QbSDu|1JTIV@xN2yJ zWy@(P%;ky^xcPBe2Cme3LEotb38<8SJF9PYUM%4f zbs4B2_*f&JnWNNFF$Q*!FO^_*GH0kyCy)O+y3ACcmi;>2gNO~}qth=02Toe#IeY;P zf~LgL`DsDp7@7`YMeJmC*~C$~lQknm2>pgQ4lN|LC0Te5)*8}=wi?p#g~}*wYj4mz?q5tF6XM~&Olgpqn0c6n(-J`+4K0|XlmG($)v6(r8@hUYK zqWImbI)zx8X+2~%h1+`F3T>!i6gPc*sgj zj6-Q032IxZbAtm42q`ghiDN?Sx-x+;#BzxqP!O(DZSpo$5T5#9ECnzJcJMGaHBWmq zoA*ck+(-=^_npD72V|kK?FG={c20vvT7^CH;Q*xE{WbdTj}*g2WPAdh}V(BR}?zxOH;`4 zd{k{5c4>M)_K*t>^QRX}qLSJ`eZ<`{Ug^xA{#CkB(7!plU=jrJx8K7B@;&53rr;x0 zm$)~We#Bqk)AOtBmr<#EaX$115uI#euI4gj#6lGaaRS%_Q2V z<0*V*diWJCSI>Mnwz4GSa~r(Fa79`D1)1nB^YRHs>HBH|tXkZ@{S*!4688sFa(8toJtjSl3a#}mBbX>TekzR6|*>Xe>eK8>!CVvOK^y2^10 zv@f49X!R}-to1xTDP~TIR!OWJw*zZ2ZW34@3)dF!cHLcka~lwccV!(T_0BKc`BhZS zl3p^)p7bgeea@*<6U5;@EMB`N$?y35eyDtjGmBSRIS18D$!~Elq8cU1axGF-S+CCd zc4=p9`(g}IKdD|Hug~4IQ#4PX(?I$(fPZZA`VE_;wdks@?BBnm+nOZTyH2qcBhHFPXnZXr7&>#0Ko?MV0w}yCvl$dmD)k*62K&=?R z%h?vm@47|(O^Rh4`hcQZDxDCGOhcN z7ZQkd!`ZQkT{_Xrzlh3F)r@%2hZtd|>teZ!07Z{35BYsKL28q|@bE%YN?e4fTncNK zntUrzr)$Ih*cvh-`3 zFL&rA-tGt<7@cy7u+Y6E5bw{+y@2%YnfaP2hA3J!H4w!|iXqP$?xd%Rp{!xF7rsX4 zulQmuM|n+(<*k5o-GL3M3seU#J?X868FFhoY)~`kT#_ zhXzyR=xpu>^yO7ny#O!whA;Ng)SyUl%e#k-@Q38EqMDI7LU&zk*M(_1H8IAvE15QC z`omYOu41%kdY{A^i6IRpS3Lb&rARr;haY!0aeZO@ZZaJElOIsUDiSWJ7(zwcUOi@+ zV2f#XUpHb-CnwtKgo@xgD(PKUAH;y6o)11oX+(zuNg9*j{<#Muj)#b-ZZ-x~z$?e< z)oqMghq6uBl5Yl-^_wIG5np~B?sJg`E=;dFw#nZtP*0$w=Hn8G=!8=@=MXGaUoKa(9T zWPjEheV@Lt7U)~O(C@(6&4j+K5c*4n&|e1HXR;g7U5t{i5zZ4F02H@JEZmA1el5ui!1|OF0pr z6Q=tm;0(a&0oI28T`}E%?+<@ShdJSMfAoX5BF;Uk;lk-&f_8h1)_o*gSZ-^;eL|HD z|57F1(Qy&DX#C5Rc-I%Ah6c!7VV5}(C-0=@&_ zR4?7_BgzZg?Wlz3Z2M~f&+Iw94eeiFO#IGy#EaJd9q{<`u-y}S)HQUkyb(~|NSHG) zV{m@zqQqVpcpG#Ly^Sh%^VEMAiEqFwdwJ;}L5tS!n;k7=?+3I;%!vpW@M-?=r?uq- zyw?xD6AWj9AMDk18~TtO!h0La-;e{|Kv(|7AHMDXC2v*z#t*&`x2d<+aH0Gg(dQoB z{;Y%x<#)*e7cRR8;LzVOU?akX?tA7CE_C0k7<}&>aMAR)01l&84jY^?dhl54&8|rM zMFaN9p@D$E6>y(m9}(Z%s9@hbcwvG4fX~?Z4dIpj3!x7%qN|v;=|s&UE!PJ?rvZ(> zw3qDD;4Q`At;OJN#o+D5;2p)_oq+rKOsgT-o#Tam2*WZ&3x7Q~JG!^wH0ODvJGJHw zaOE+eea7m8(EUJ-7rOu2OZo#6Eu{YjX#BbSJp%7-;Gf9>7rIYH!?Q|n2(P@_AN~$) zIRSsb4}Kp^x6L!^NfZIU2l?%>@z)agvC}#8XO9l@JL3bQP$WzDeh%+0u{P#qx)(cc z_qU<%D}?@5A@u!)(BB5y!v$;&f3z6+pz3$HP)N3q){0f!1vDw>c4d)u_8YuSeoiPygE2YE>VpXJJ>kD z+>7@hUFwO+`Y(4ek6x(kOpB;IO{(*+68TrEJbyNg4=b?@9{r!>!^t|2`CgdcPUQK9 zMo{PPCnq`aW8(K@J29Pm?sxSUnm#}#g)>+%5s;BVcshjF3y~5DMj&45LFFTmgkr&) zFA_q#r9%>RxEVB9dZqmFv`C4BJra#`H~)}$rEX34k3;w|+=yZcvF=I}swW`3#icOv zN5m!|ZarS_tRo?XzpRxmHlar|7<|eqA~w;uh4B*25bLhP-E;0bno%O5M{vH7xq+(4Z5L@YKh&Ty-qs3Uk;PsWeL+#%7~ zZ;Fczu1RGbiMHfmK^+EO*aW6FwpQ{8cW&< z*G{x0cr3S|!)%haxGPPx#SMAEmiTLG%L~@X3B?lQ_C?qY!(zoOVUL8x0>(`^l?RqW zOi!E%&5(i-rWyU>J_9ArFNw2Qj>aV>Xy)_WPNEw;Tf0GYL!j6$q7JjBPYH;7dhV%5 z!M;tLqs*#HDW3iEt7jW$!l2Tf;jP+n1BNVNZtYHSh}0wDFz&VlLu`XkESTHLO3j+e z5{a2~LiMAKv#o7~f9!26A*HyxAt*upwN1_a@`!M{*HG+`&?C5NTqjCYSt3;r9!xLc zRy|=cH)IPdF;y6uzviB_7z2RrxxDt+MbuG6J}Kn^(YNl96Bv1oP(8SMy+qV;D5ab) zN(7LzMTx`(Z=&ZC<2JE&5!4~21WqTVe2IEY70}2 z%{x%>9uz#jJ3+aR2p*q`=Y5(d1aEg$j?ZiB`gT@4K1!^4+biBi!P`dhXiHsgs^V=U zczn2)y6^#8UG8bc+gb27DBkXZ_k`l@BY2O1$9C{3G+iH`?4k@l-KBYa=!m@Og2#(; z@(vNab&5AEc(*9tk%D)F;w==s>lE)e!MjTFRtnzbinm7aE(DM5I9u?}R^=`fyfun< zx!|o-ysHH7IK{h8@D?iG4T5*1;@u*6!-}^~@D5SDy9IB$;@u~B2ZFZ_Mt@N7T2;A6 z1aBY3dqVJbSG)~^x3l6sEqL22-bTUO2E0dMzo{5|y3ePA_Yip72;N4FZSuAkyr&g! zXTjS5-cu;IyWl+m9{pt>!FxpUS_O|kR?l(=3f_H+H(l`VR=h(5kH7y;?6Bb7qIgFN z-VNZp8;U8s0x3*Om^ccI{|QM}6qZ>8d0C3wdv-gSbv zQ1NaMyd%M5J8luYVO4IO;2olPcMINh#k)`N4ph7c1+P`{9ud5K6z>Va+gS&RLj3cH*wcxQvhv3jB4tx>$o1#cyI3vjM|MDX?jZ!HY{sNn4d9_H+mPYB-b;Bi9p zl;G_G9{Xs6;Oz_^zZapWTIbM)=--fmV-5ztlol)k>pBjLL&oEb%j1mQp6+8Hzf6JH|DXb*d(+CYCzC4x3(bC|IC8-wNTO64bT%1viv-IeG3DJJEe?BJZL zZ_=(TV$)5kSlDx=6#7o^uw~Od$a9^ubleQ*sD$IwCv5O*GEV&~2H6rHhDS{K?XQp-VgycbG)u%IArq zeQUS6cHB);BGE6KL`qp{w6hb_jTd=0n4fKsv9K8))C?oL*FFpBgrJX$GTwm_K@o zc8`WcFla_MMICMy51 zP0(N>i4s&W6wf(3clOmbagv)mJLkLSoO>SMz1zle*c`^#2Ost{!o&&-7+VH&$nUfD zh^6S7t!NfE0+P&g*$I$T?m0h^12c|=Fc7IpDmNnl$zyN9r?)@3H#pCM%y%Frmr+|j zYk&_US0;|bL^_r@0LPjo89{E%VpZ_DqV>QT=3Y;;5q=$b-^t&gSP>=&;_FlGq_P--Ox18 z1?SETt_98WSrLGqZn)VA6KP%yGe*max@pULcmb*WXZM{l%*g^6xGDvOpoJ_SK0dSS z^nRE~RlNfWa?R#iRJ8+PDcG2@%^VK-G2LQ2^0+(73FN|QA6fnR*mQyAdA`00C{(;=`R4Lx#yO%t*DXkQuY+cJFbnc zu5fV6Va5Pxx}idQn3kl0VqCS1uz!dC=n^>=TTbf4w-Ums7}(*i{5k4B@Wt*VSlDLP)hj&DLtqd6P=otGK%(=GkeaW6st>gpc&E)i?(6= zeJdz=9fyYp5r#u_vK5PP0IZ}f{L(f2J;>N>7;|A@SP-y^y#~K-y+83d5DG1KQ)L)Z zj4-QNImpB#O!W14dcA%9z47jSYsl53ub&zaK8Pq~<`PSHEk$W)bhucc*MM#+)Qrp{ z+V<)GSUGDE=3&NV>tT=w`*+8C8&iC#PI+%y$Ibw*=f+3F!j#y%98w|`q;;(O_9Xz3 zRzXfsb&3_U!-~(4jF=sf6k|PkJ@9JPID+$Fh_3W=tZ6%{Db@y})h|99IfnT{n}QA# z8Y@Xj_{g~*Ktys;AOR%|$>I^N`MD-5+}ubpMHoJ)%H@hglyHUT0rnk8hOXW9qDM#x z!Ye28h^orsie>;}z_Yo+Eq||9RwFi4tQ9q<;EYXV=($aGM?e+1C(al-tjlefIS(rW zw~v1FxrN?iKtzY{RKk8)GW@nGX;P3I4`w;o0_dq7%&e$sS*)@^X*jl7k z5K;GI}ZX*^sSslN+0yLxdI8y=*J(}fyEv4qu(SIlRI&g82 zKgXmj>%_%fs1t|iCqHjjWM0n>!MAUJyM6&Ck_$y{gcAX4pn#QMzk_AS zE`TNks%Fr3Ehd25Y3s3HU+6y%Ahd{)MWDqytW2~wt8nW8J$2zGJf5~(ceob`ELSuv z$SC8cv=uHAjYJMPJ^lH(A6$T}jb181AC?kOxbZ0Y2A}Ccg;;%(9I)FZLy>&IH*`Ya zU7?BeeR;g`MPXcYGx3t51@z{ut?mGIQ*Sked#2rQ3!PJ=y&ezxhwc?R`V2`6a*4tb zy*xtXA-qR(X{R3@{65OrIg3vP9b__!$4^@=C>XdW^ocW3!FGb? z3g|p59A3x$Y)(z`&9u=7(7|!|$#K6hDGE8cnCu40E@nyqXTi&3W=stcgPeZl`McO7 zcnJ_!8oI^7bv=srE>p?!eCnGcR*G1Ztd#VdV{2AW@fJf_O8kxRv{4>!HlOPQ0i{_~ RRzxx&nDCe7KL7v#|NjvI#lrvq diff --git a/firmware/db/valveboard_firmware.asm.qmsg b/firmware/db/valveboard_firmware.asm.qmsg deleted file mode 100644 index a6ff6ca..0000000 --- a/firmware/db/valveboard_firmware.asm.qmsg +++ /dev/null @@ -1,7 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1640495500861 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1640495500861 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Dec 26 13:11:40 2021 " "Processing started: Sun Dec 26 13:11:40 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1640495500861 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1640495500861 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1640495500861 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1640495501233 ""} -{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1640495501358 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1640495501358 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4662 " "Peak virtual memory: 4662 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1640495501576 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Dec 26 13:11:41 2021 " "Processing ended: Sun Dec 26 13:11:41 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1640495501576 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1640495501576 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1640495501576 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1640495501576 ""} diff --git a/firmware/db/valveboard_firmware.asm.rdb b/firmware/db/valveboard_firmware.asm.rdb deleted file mode 100644 index 4c7ec452ab171fb719177bbe8a229b0f395094a9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 811 zcmV+`1JwK!000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*JKH00000003G7000000027y0000000000 z001ik00000004Laq*P09(?Af8p*#u*3PPww9OlHWwVk>}$}J>mRiyArKu8?W)|mvW zUfbPAN`5_^^(<`?g{Xp&#^d>R=i6_0$KGf(8jr~Dk~<56#wLZf)+kSNR5iHb5d?P# z-6DTJ=iBsti=0@VQn+G)ft6CI8DT4}CJS68c>kBAUf1*gCcDz|jKDc9XiQd2^ai1y z+JnBYSO~NMV!KTbm~(nvFu&Cu0wsmP~zS_(BH zK*vX!Y8(zq=elLFU2KuPAnBe*wctupJvMDraVv?T88u^`{+PmNI#^9-3h#wPhFyoRP{M;RC=APL zH^(XF&?`)4W;d<9N3};nX1V_`T;6YggOd~Hb~#@(=T2YmV?S=biH32KbPlekaU*bk zpTdBfoB>zY#j&utfv+OBeqS#Mc0F3lg(c(4w-o-nwV29nX06I6G~wN_eGHZwIW0#B zT=ue z2&9>Sm>YOf%zb|iU!Cofm$5M~Amp!}m}@0&pSL0AZg zLHgbNeOz3F;vvdd7!rVTc^tn$s=>-6p~`|oeSIB+BI83GoqSxOs#zH#fXcRW{F%oL zRV@frjjW1|K?A5NZThBAn3*C_Rj$5Ht}ZUFE>Ppx86HEK31yT%RKn!yu#5h+U pS6^4Z5Do?fpp;BV{!vjN4KfqvMu@WDkRVS#_jssk00000|Nr6*U}XRR diff --git a/firmware/db/valveboard_firmware.asm_labs.ddb b/firmware/db/valveboard_firmware.asm_labs.ddb deleted file mode 100644 index 1a4ca5f783d8071192ce34173b7824ca4dc2169d..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7464 zcmV+@9oOO%000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*E3l00000006)o000000055w0000000000 z001Z*00000004La>|0T6T*r02GkUvxOF`PlwWy^TR~S+fm-0v9CjAinVa!sBODRpX zQjaFPpe>$RS(5!#^vmdnKpXyWn~$*hiBO=y zim)9yKm)@lT*9!Ko;&X?mn*&{DOrN;RAUck?%bJq=iRw;@15BvkBI&TnUjw!9+nT~ z@K6u_U+n`A>-`w=AIIxHOS7oCWbl{SQ8Fl6s7wph%43QLNaVOcbsv!imF6awH2x-0 zFhsf?&kf{1Y^@q8s?Rn^gUUMS;;xB3xe;(Vae=&H)w%=LJ!wlPh8g4}P1+!Go&rfD1058JYsi^)`oa7r55zqo=V1mp3Hb^h zcL63&Tp%~~ycN0Y+3n9jsRT^@;X@Egv&j7Ks)4dPmQu2x|oL< zEPBNhYA!MAkAMIB-^XNZXJ#9uLFKNn#se`fjd48uvm=k^)F_+p=o;6Tc|6vjysP)% z0bg9?KxP4uL9Ti$Zu}gOGo(a3fWyfkufoamcWDNE*4>lUwe$_ursBcaOdkk49?~-CC3z{^i`E z?W|&~g$A#7SNh>Z@nzK(25*qRe0u(6Le6F@mNLUeGT3PMP3Am3=G4f|-3#Kr+ZLYE zAm2CSJRy=seuzB5?jWjEkc~lpz%p-V74c+F1{nzAWKgb8ZO{`vu=so%(fC%X7l-a>0BJ!aBZt1SBn;Shi=5|;$8o`s3*O>QMy9;JF-=ub7-twd$SG$(!i2`0$-bj2s5a$1pB>kzyk*M$zAYsM|uni4%B z<2M5OK9+hE)YZlgT^Y9^2wL8ujhN=#@(zvS7LRy`GU|1$VhWu&8Dx)29AlN45;Vol zE*bmLn#Y;zPFpg0_k4mWH*6G z_NAfVgm8<*GDqS9xkxl$2uhU;B=fX?aae^ZO+P3ero5mJJeu1|rZSzj`Gd4b;?xYA%9sf_=FuO%7JyWB_St-{zwKksj0mNEuicckB$Ms zC7&vIk1Z)G3kr|=HAgW|h52Es!2MysDw)@_0gay}i`{cm)2%!m7{8DL9(i*ZHh}!` z>E4(JipDR5HgDYEAo9r8jaXRandN) zp@5Hjwf00qvYulXcJ}m<0vqbS;kac_n;p8+DjEuEZBKL1J|bB(yAX%*S9 zZNMs`k4pxBqZMGW#}s5b34t_u>{Mck3-CE{fxN!V5rapr9g|{A%X-LV%7B$Db6Can zN|~E<6f3q`MXZPX(?=+9v7$k&Sc_$TJ*|V*Sh0!+MX};c$F|%@gstgOxr^qyeOmH6 zZB}})0drgWsM1GsC&@48g83iyU#^J2{z_vfNwZ1OSFs+O*b{{e+{xWlmg}=*uX)}) z1!_ChDtr3vwJ~}|(0kb#`qb;Qzf!bUc=e;Q^96{pAZa{Bw+~V9sa4JnRAF0&aK={# zGolA4E|81Z*{R^fNRfN`Jww4<7RbHY%z4^1Hu-p%qS8n)WeY>pGj#E-qvS8CU~=cN zV!2a?sUOo1pd&Dx<%6%eNm*kWDXP!%S33~8`K1C6|ZeoR>?BOFrwut$%%{u)dJ zVAzxMh#ELf$QpX~pkf9?_I+2NR)mk}$iZvYcoz6j#1~ZALvQCP_#=ggVujaC*RSQg ziX6OB7@+UM>B1O|^ilX{Bo@hHuC_9#k|fBSDv!g4N*YV^yqy#MSP!DXstgMrc9XJ~ zJQ@>JKScBbc$+1axu+)dG?<-{#|5fDK3~X{DrvF+d0SCwJDD9my;Zot5yj0u5&WY{ zP2sJ|l&T-yFBYguyFne~bB!DyBHO=>${9!&$;r*t8ui(ja*tkR6~WZV204j`X6Er1 zBq;7czAqZPywluFNfbb7jp0c=G)E~3c{{7fYT{y=4DG>aSj-K@Es<4J?v5+6ilt_( z7@y`szT<+hTVh4WONd*HlXp_A*!;DHJqj>#Hcpo~94F=^l3s^o+kCaC-AE;UflG@`M=??hg7wq`yAXPZL#M)uPg(D zlf@^yh+qItUyuWNNh8>k=RG6R9Z>Q^jd1a{{zuS`A6vk^4cTE^+CCl z>RpKY1Ioafu*Jwu(L_!l9;Ce&WWBHrI_cT&!(O=>)brnQ@mDu`o>TSw_n2xRhjPhC zYasJ_wu^d;9C4;#O|A$m;Ls8K7lK}=)Y}CSEMZk)n)Y_$39xL3$!3a~GKn|)tX`Ud zhyp76V{MUx!K!W$GU613H+&S-_mEMw9es#T2g5>%Du05e5;B979-Xy#SlOy@XOW(2 zV-@S!9F0S@`$(1pM2Au`wG%Smj`xRK1C`IvF<9E{BQ!3rpeLc{t-P$dp1ueF%Fm2- z+f|qK98oTWWDQ!Qg^8mP-=kpu5uztGh53vR-yDsj=a+kOgTa2nIE`u~YgeEOnJ=6S z>^OIFsoo*!W0pqbmk|0lc^W;MR`G*t2(c6*14;i)p&KmR-{?B=lwn&Z_82(gLexE_!fHSNDj^xP1= z1-xTW_mdv;=DvxuM3*+32yqL371)Nj<%E-Ql2x=PjVO~HEckdN9hy*&E z=0@p_LTcuW7+_nsIGhVpuwKlQh`6vU#qfp})$F$qlrwZQKNBnkFviw50aPC;Nn7Xy zktVr&peyrSpHDznme5eoP6(PjK+~8h?4HsiBrFbfu!HZS%Y;z&5R^Pes=)R}3s zF#F;Yr26sPD(w%1|8)Pru0Z-!?R~qT2A4{~95(7$HN(;2hX6Q$Q?N&GBA>eE1tnSP+g`IAaTUlvjh&BWn|P7VL9WXYmy_LIUUkRAdT1j{K%Qxbyq50q zmMGFd8P4amsk|t5Z5@J^i8-iArAl)d#BI>IRB(J`XvSt0%@0HCXZ$7VntV>ol;uEM z;cVw$zc9f+7hOE zj{hgwso%J>|Jwx$DlZbWm&T4`nW==`iAN&+BozLu9}`V&o}$-%F_*8&r6(XML3@j? zL$bC+EQ12m;OQ2%m_iK;ts_c-;$)Dc2kIupjjhP{VIUfTE>0TdDk_?&(X&Z4PjfXl z959wsRG%e6U~ab5-p3WmfTIeHtT&H*!LNdlGnkLVlynv{JMt`DhcU53@ z*oVCHBdNaI^r4uZUjP}%YaMjtBMaLC`;nrzw+ucCFU|Y4e75tAmz1pxky0?A7rl!e z%6_EXdX$fMzTI`0?<&K>1qn@Z@=mgfXFJGS41()@LRI=PZ-(|lBd(FG^jrHwJVj90 zSENe6U>|~;BDJ*}w}pO#8i4ngZXp=*5Kwa&orwxLdJgszrxhTmQoo*jnK1---@NXkKBo<;bIiaCdvF<_KH`gApF}N{|5EgZ^;bgT0c6N znGl}sgQdyP?JZJq`~~EoX3zQ-XSC|K$S$fIVGz&UDE*O9DQvrA5dl5vs*1K?z;H0P zyvMhf2s^*}L&%(u2k3z&s(3w_JBb;sj0bsoAyGE8|X ztblj4m^v-xn~1n8(;3R6=V9xxLyF5Os?Rp)@1ugPZ960*-}BOsqq}aVtn3Bf{t9EIs&6J*s=0ZgI|_;cbo`Xd0q$aItOIWM zVlQV5_iP{s`lg=qoTIoAW!pmZ{!LJFr+dgo{lqRL^s)liSruM~jXBh}@Wh|$OH z4>F%S9~@p%I{Xeau#ZZexNrMv&Ggd|I+Ct$3Bt*h7>roNbF70tU}BSTm1wH9u$<1Fyavz5sp#3%poUYCzGmM|N4L?c%mw*S917 zxVRfypT;?`E)1XjFx<%;$g7bLXXG6L!56-nq3KbdewiZsEV}K(u|bfATz61vu8+LY zAee(rR7k7sf$a5t@Nkkz&vij<;bJ)@$voBp1DCK=TGkfC0!ua6K?QB`%y!&YmIAg4 zA8f0c>_wcH$o|6F&oFK1MzD+=XF!1G1myKYJ+)Ja+p_qr1CjHg%Qm7U>rNqa1iqJ8 zlO)|b85?j>^l`EDe`^X@v%AJt;6#dQ@7G28S(QIoXce3Y~ zKr+aXWSk7jbtvv4k>di@eUZp`T_WSEQAQNEw4(sgw(^|R|M!9X+ZKK+12AhK2e&QO z^?1xr+@X$f4^nO&XFxtge#h!K5v`%;CtzQ&>K1nxug4x0_7{=LBI1^-@Dn(7z&w|8 zewf*{!iUzgC*XTkL+1EtD;9kbJ9K9-+Vr8f3O&^Y-;bi0&U#kJQ&smo#QgP3dUQ!k z7pWlr0*L1_CG_;mq}n1sR~YolwC&~1o9qpuY54ogM6V)DtH3u8cr@OHnQ)g|Qj;gi zVy0I%@1q+erXhx2qIdFR>V;er`AU0!Jr(plTjZM=a~Z$%ACjXL*~zD_n|P}%m43!v z8n=wWqt}ItR3YzmQTTjZgT-lz!baEXr*?tv$%SBiH53oboG zaiWXfhv*-pluAqb8J;OD;uyia1^4~RG}fyjb3BG0g}jg8LvaH~6lO@m7tUb-JeVFR zjrIC!j_3&7-5l2W-#z-b6cPNZ-sIE2Q0WbFU)F?p%E7e7bjdr^?)K>zrEX_Eh5PJ? zB1dHud(ASwmfPvmQ}9cLOd8%VOes2(^W&c3dBiPE#(!!4j0Gk4gwICLZxs0?V+!4LMtT-6j-D<>bFQqu1oGSYAXq>|!SAnd3$5wK6OwO4%c-=+ zY)$Kq6Fc$A6Ol?8y9`|-if^x7N=EKj27iGyP6p+jlv;zAtSuU^(L@Jo1=fvI7?!Lp zj`4-I=Pd7sK6I5Pwbhn;(hm(GH?_4sYqvNU9&TDz5YI%McWeL-U zRAdBvvd|!E$Ogvo<6(Tqr6r#=x8SRU1Nb(~%3~MA?QBml-sMvPr{J^Ici_Rtb0M)c zjgFy-$l*h2RH%0wKD5d{v@R5+>@jbF6nv<7jI?@@yj~g91%IQE8rbaPXDDTWcUL5O z)Hrd044Kb$Q1~2*K9L$lk)qJ~Nt{EgX`F)O4)(7?G7mDX;BB|lWUqf#V+Qc%pbj{{ zx|AeyFmNcxm#7LS=wX~uemZf0ev7YGp4qKf%D6WQ4^6>OikP~79^O`D_!q9zIeP!l z82{pEFa<+aE#J4W4}~WJ2J>uhF*m=}ReDx>f$C`Z>R)D{< zv+PlE!-SqLwL#821Kongp@)sAShGPeZyic;7e*czs6yr%RMSR)Vm$}Tq8-#~9@;o* z@(vu`@QJdYjAN3T~zDqcV z;uXH6nV20pa-4%z4&3Z6*2b0}N>jKa?vPvC}4YP-8BbBRw4qo)*!ju*PCpUq7bk-fXKgN6=5c{)q%Cob#qAnBmUSQmwL&9Ws8S1sen?3& zk2r~VV%A1@27Qx|w?oJ8u|Xa>u7})Wbn9HANdBQtT9>h9i65;^r5R);6ch9EAR)qLsv_Z-xkRre>OdjPoA;% zwo?2Ajoj4iRtl_kGS@)WWx$4ivH8w4e)A0BJeMrZ1MCmN~$QX@Z~2?m$LkFMCF*e2x{&}eg@ww zh9})u2bxsV@?#{@+V-5!MA7Su=TQMQj{&`;6mG@SxRcI$-rijB3cj0JBHX3}IWCU} z_Is6FpfRA;wgCA;M=&`)sd2NJ!oOCym95$FJteynH=_9Rw;<$#cE7mtog*5bCu4E- zSROde_oL%`@Ez=7Di2{|q_vSV);JlI>rm`mkqk0=a?y%>-%1_es}YUdP9xUhVb}(c zmt407o>nSmHL$kyTn{;S*2oVIIX{7uL0(7Z=)pMRo?Il!JpLtjtvv~zQ?_<*g_$=X zbJq)h4D$NOxp&-?izMXtBxQ}sn(yIs{GktBy&exz=2(IIYFl_dB!j%ZZQ-68xv2vi zr!efkBZp#ZgK5`5zJVRez%=rzx5D(pL<+3?j-017$ZH^9jfV#zY2+5Hct>vIq>-CE zZfU12c)5n`T(@OB(U6#@Ks9?Z#mOLV_%ycykH)xk^nn{{5rqmvv`vazT)GDz3ar*( zaEpzU9$7bG1G#$vbmhba^1hXLxLqSRCE27f^BVU6n9xe z<_|t@!Sh`*_`43)sAJ=;c)%LSxwA%2(w6mj^nU;V0RR7Z0b*fbWDsCrU|{^elbI1n zGXb$65IY9@#``!r#XEV1#C!U=`7<#n07chvF6gKS(hWe&3&cpOf|(gufFdveG7F@l mG_N=_JufvyAv3Rp1!xxp#03Ct1Ty)MRU~I576AYN0RR8P##UGW diff --git a/firmware/db/valveboard_firmware.cbx.xml b/firmware/db/valveboard_firmware.cbx.xml deleted file mode 100644 index 5f5a4cf..0000000 --- a/firmware/db/valveboard_firmware.cbx.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/firmware/db/valveboard_firmware.cmp.cdb b/firmware/db/valveboard_firmware.cmp.cdb deleted file mode 100644 index 556dbb68bfb1b7fadff0fbee6413abc325757e1f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 92093 zcmeFY`#+O^{6AhvDwUK&&P65XketSf9HR0~ziuh;wi%l9w%{_?(Uw`xu)x9B$(#D0+R^==%)y+;H%!8j}xKh zrqJwN36ID#sP=(8m=H5=@!U^_6USN&*8b{t+r5+$#IB2DbTD z2^LhX&8!<9+WpfwP6TLg%RT?v5ls+!1qu3O%qA8Vu^X|jmd(#| zfR}wGnWH}uW6xIOQ-fMIJ<0q-S2VhZ1*kOU=z{irZ@B@@PPO~KROMmxZavxrEwbV6 z!SywWDp+x{Jmo#o+T}!i3CDg93XPojPURrSbv8;Om8BjMuuMEF5QQ2RUyHh6NF6P? z(}cL>nNSX_dyZ=H&#N>cMt)SeDH5chLM@=0iO02bWcNleMd*gS2MiM$U8d zC3aAzWHUWIno(8tN|-)kWr(}#<$f|a1}4e1`q*11l*6s9e1XriWb$Bo+&wBV@5HV*m&bAd0d zHlt24M(aVLFX*1R04hU%&J|#jIN@m}#W-UnfiT{HQyH)=jLBlLJo1W_0mMc+b;#zH zbjsb-w^aF!F!qOQwWJA3K8oy2G)JLMK_78>f_Cfahy(zvfJ0>f$;I-hH00Ewcx&h* zXmixLQ`};AWImF*+!2Pal!jh54CWL@h=75(DwK;=7wIW9oLgWH{Q^wtvGs)}6=F`Jhh(lmGjL-$jTFgex9F<+xU%$ zoK*2;-JaW<(?i#X;zP!C=g^2;dh*`TGp8eXpcS@#;zQ;ZFqqTVfsxrF%1A&H|60+Z z59OmWfoR&8E(ZeRvV&5KPS(7G%5jWYp0fh7oKD-w&n@v990jNx*^Ca|`YT$EN`a=< z(`(H+9v$aY(ou7JKx;l%47nacQ5s**BygiR&#!T{)_}FkH~{yrsAtWIZjN47+K~-+ zs=R>=5C<(|PvTP5-gDtG>P|YGLA?#yMX6*1wDx;wG1P#l-i?3*Su7$R9az?v#0_Jk zG0+so{)J)yTjDpc2HeW1f1E6miXcSsEz#IT)^s8j3XBrZK;;s{*R}|-ux-QuJQ*Ig zDexYJ;*{7&E#Fe9;kE`X3-p$D!Jy?dM|ZsNqEc>s1?LwBRyG8$S!eZfvbn}PlQFXgC|g+2Px;0&Ij`&crAn3ejW>{`g7u(eU!^%5wFoKk?g*yN~tR zx2JET5}#Fux0&TJWh?YUFNb?0UWmNV&Hun zL3v2yMviQ&6Hy8b-IZCRTF4O+>txApPz;GKECxOFbo`9C zFMedX`5$OuR+hk#KyDX>5K$V(n2b=DWF_{LP||?p(S38&$h>Y2< zY6AU6jOY^Nkl`1$PbNO(=3tBy) zpM^BYd$?O_Rrsx%)O$17wHi``Ahf_>BP?lWoe_F4_mdCX0q&B@x|}~fdY4I zcx)a4jG2tMb}p=T6MXWGbfhUiwU*|&w(pB6%lsh3iwmuJyWB5nTNzQUj3HnIT|7m8 zc+@CzBdh{M*O}wC8WWCF5(czQ$abI8XS*fP0zrnV0g<<69Viqyl&v|s`=S#lQL9Y` zYK_dW|0T9%%1WcqX##J;B8PjzVj#t8XWPT@DU3;oT!u_RlP_@al`HN zQSdz?!ju&Y(GrKrKmFVcETAIjqdJ=9Mbh@-%1Xu&qLm27`8_r+g# ztosF)iZqpB)ynbM5)er%>S2p3L0j2GYF5}PR$peCCYm%q@`IcEbuczkFv?_SNEb#Q zV(3?nU#}WSqRDc4E0sBrVHB>kh#d^#P7n{)1xA)|I>@^;7bt*QT+4BXmVhM&Z*q=C zrXrSnE6M3N6!%L!V$C?5fwG^77=9BBMusiN0wO*lRG@>1T~?krp%dL}Ne?ynf#05z zC$Gh0+fP&n4Bd2o-^muPX z2w-)ZaC3V_I{+F-nIOVG8tw-$lmm3ZjQJ!hBaG?}m4K^9d2MO3B3dQ}^;Dwt>6I{% zI!#pZ$zm%xh-g;_rJ8|F0$WGffhl#Yq!mx*L+-icmfGbnAKAY#6c|;5{@|E-;HzCUNEVM(hlbG-~=zRB;G^IZcd~ zou0La{_tc)RxK5BMLlzaJRSnjO!*{qh7rp2*oy;Q6&M_p&R zn|Ug@V>OMUe<+rsSYOif)+VFb){$*GzTHHc{4l6X%-+Tr5Lnw|ho(Lde1GlwPC)tv zr{p&d9<}&&;qN=v*U*I%cM7Gzi>~lJ1ND!feW)BOA;c%jsU9|Ai^o+oOa2?vHG6fz;wV_P;p8cFh4onS-wdx#9m6vA1A1E4JVaep&mP=mz z=mZ4#;o7n^q|eH1IK}f|%(7BdT(Z+pn-}7TX5%djgN6B+l`#Y<)XR+v0H9#|cJ9$! zZ4(k}QvKc!06^dXW>^O+jovO5Y!8GUzzoH>6H})kp#6E0?Q)Ay8n%siniS^qm>b@k zFi&kh0E+kZBS$zNM!-x-dIm9A#EVX)?Y{5=gH5oMTnu*OV9TlFAgj|Y3_+3W+~=HT zqK7KTh_jt24oT*bmf*#h9hcVUfvgJyq>~pB(6@V-Hu+Df-TQn?Dc^z=h}(Pm)FwYK@I-tKsgbA5o4>)oSUOpYQ4hxv=e*HTV_Sy)?1CY z<)4MHOi0P-=^VKj^xgq!{lhRAzclJsVeSCXnR_SNP!lsSlP`oNYzht$&J7Xn__C{S z@6d;Lr^uu=w0@)!2dVfTXrVhFO@+nBXuwd5%q z9++60>x0Jtq=77FyX=6pCoc?aLfWf8Dh>446LF-izR9ukTr1uJYsNK{4qBJJ46F+PY7%cw_?u*8L z*ym_ju-()0yfon$2yM1N_DF+7JboI*x^c3_?hpdMe|D%}1^md>emn zzPA1<2z5@dW%C$|GkgJ3mbl2=mZKX6x4yJI*%HiynBEuQ{okyPa1>$nAoqoE%O-4I zOYS{9SHzLab)sP3=0Yiq009!iJv3P^7h?}gfVRrL!a~}KT4!K+^sUq$_L#foX=@5; zLI7asxW`$m5^Tp0ACPwCg%t||rsNxU~%beULk$0%2WVkg-ah_cuGqT{$dG5been z$t}nbcu5l%AS}fg^aoi8tNe)chgay(IC4YqEt1ZK(`pZSVF=E*UFveP9Ha)DkX77< zZ$=9Z$Y5dSEfGqu(5CHp{WKQYZ;=jD^4vqeoX^9^bZxKpnI48^@h!OJ%nLq5ac-^4 zG(oow-^TyL92JKQ90pky7^Gsg zEGF2}#6zyLATj(ea%V1*MVfFyGm)xL^}ag&ob!I!tm_g>0tGH!8)rE5s0TDB)f`KulRQfz`y? zw%zxO=|d3Jvw*EL0Am^okpXePPgp|x9tGvm=>UJM-vKuL3UGmSe)HgP*p(yRDz7j5d`35 z-V#bYJGY09KotuLC6{tUUqAy6V=&`wfyYSM4(Bj$ zg*j%SAJ49LH#z)HHJ9Wp6$%&>MQFoXeklb*>3u;AV5~Uz}YHkV24F1c}uD0K|V7 zkuSGxvL0ICE`={RF~Fxi-sY)Ga{6ZiHDz`fF?+COe-k8Mmi&XbdRp4IEE;VwPX@=h zvl!Z3wzZRSmcl!gd#u&79jM*Gh%QFw7LeNuKU}QVGfq|Fb7{Q4qGDxuNHu}yG z;Z`W>vK2h#4`KY~n(^eAYLm?I$XvPYcm(F!5W#0iekc4_i5$&Ldz^$FXmfq?m)V7q zPNVNMv_eh+A>QiY3o}) z5iZ$xp^`dEkA|$CZh1n(Q0YDIxGl;t*amS-(Z(}?;rAa<7j0SkQttuKhBz#Z2%lgY z`oiX^3*wwH{+4IL-NgM^LBs~McGI@jBc>H0Il`f+uS2v*)t`UC;jgfvXWYmvEnOP> z&=>TR%AQ>ZKonpeeD*NH_ZEvdW6lY4??h!{+e&00IX34I0?7%`$SePaCVs(|T#0t# z@KKQczH-z^!wB+Xn<5|NnSqqkk5*fvY}&lI#Phgl&~ebr(q^BhQmhpgj73ajdL zU18isflo79w;NESQQXxTaZb=tNQ%NbSWP?)!g_LE+UyvJEyzu5wc7n_j)dBtb0*-&#gn%F;RFZ_)om>Fd46?I&Mo=l0{9 z3et#pCDMIX1GX%i+F@2npD%yKRmiQRbxF%X-t32PXbO$ceGtZuBSTmT^Zr%`VC)4j zJf#Il`;h>3i72gp@Z5kICr(H5LWH?deK~R(z$ookSWr7L&z!?}y&~}opOi)?+iH=- z!J;4oVUCHCNA{|rq}x)aLrbgrD|^&DwdyFSl3Ai{#v;~;L+%~`af?Xo>EH)>5 zfEK=ge`yiW#}}n>qGSaA#SHfaFNi<8oqq;ArcHiB3kx@GLxu4oK6Ijth|Cc=6h=~{ z<=*SQX`*GfIUnf?r?n0P+b;-@&sSQK8gydN`zfh=v@Ji0a{;5)MPQ~i%53lcSL!9n zLSCAZbn^a78$jW1yykvNu|G_jxD4q}nkOgk*Jk^vOlY1w_Y0Do3k&d5yO8oFC!=aGcJ;vU)ORNP|EL9*EazK$Lj9Yv{#XWaz$t=UAFN5WxL&Fj4#Y zJkHfoj=I)qE8_9)krFxbPMSHu#hM54sg20gFf;_F+yUeWli;;6*f%}fr21P6#brmN ztE17^+VxY>Fhy28$RpB>t&r{LY^jWj0KP)MXvg!V(d@f>8CvP!+)Ly$FQE5Nwk#h& z<6t>;J`Eg+kS3?%UwmpEz(16M$&Qq(8 zf^fnuMr2mHIUr>Gqi=#cnMO1GC$5Mw^G|o6{UeSY04&p(i>0Zb;|tw3@iN#M>S2a& z2m&x_l9&uk_`?$${`q7UG66a#O2&Y1u>w6hfmZwcmB>O2>#yd@^ii#d`RdA((hmhT zlJ^K$Sz_OQVcv2zrf3d!V!*zVMuR%bp#q||RFi3+9uTdl4`&5<=d$?cm;zZ`+^qPb z-BRUZkq_GeY1vu&y=-QB0q*$_IX1bLi7%G|C-q#Zo(muqGYIRLGs_F_PZ65I8{0+IVPkR2`iE1MUOkhK3ZE6gd`WJ)l>OqL-N)0H&j|9qs1=`x zs^sCja?heGdekV)eYO?&SONErI&(35l~8^DLfY4td-V}K8xznyP`vPTc%d3E5lx1y zgB3P(ls7VhTi<*wRZ9ndsZC`&>}JoGe}SIIs_@-F37_bJzkGWRjiWt8<77~@r|})^ z^<^)EL}1p_xWeUCO)pkF@IyL)E9-0ku|->xYa)RSs#Ru6ipSq|~EJ~#v~f###I)y0#y_(x`FUtE+ldUJsOY|LLE}>^vuKYiF9=xSA_8rG3N_yyG$P2EOvCQ?+&q^Ad2x48T+`Q?On#;wL9GJ4tgTR=DZvsf_mlwiYvKz?|HFO4(!E_j(d+01vO>Cbgx2@rq( za+*~~h0efoXpY&(CeiGLr)%#+zd9x5RVHjVLyL}H>FDY*IdgyTrAnX&Z}?aT?J{@f zwu91pNztM-q5+@C-%$O!VtE}9Lk8Vz^Pkv4osVa!8QK>T{{;9u*~$HMwmV<8SH&dw zyC^n34Lo%^qtK*I`f=$75;K_*n3}>znT~i-yc62E?3aKsHm~gR(J`=bfZP8{+lwn@ zx@h$HSB_FAY|7x4xdKXV&A!P=9Ri|Kmu-}T41AK4`drow`tSBdX}PLW}xo$i8#L5Df(YCpV(9#1}RGQIj__jqr377h^iV7 z50v)gcaZ3X&hnXskE|>z?OrZ7W-@{zBj0c*pTxaT{fP*eM@Fd*qLo^$6cqU2KcVGk zUT^HCmnPvevF+HbVW>L&g<&pQg2DORd)?os04AM&3DP9i2zCGnv#bOVHO%xf zH@>ri5a|sFcvAS$+6Z>}eRia)v$M~)Acr@WqJ+o{!xiFRxkb4CG0!sL?x!vnqfdTO zmHRM|GwX8khs(7$$^nVTX;iu8m1g0H;!a&xrQ8jI)^UECYo!a5Puo4YL!EGJy^0>q zVqlar<{T??YlnwKkoP&d#g(t~b7|m;rr_Bsl*&7sNIUv0IL!>5^I(u~s^j#J_ZHK? zY#PFCDbM#RLPgVGm1oc#?ngD-hc>!Lf{qabe7g%Z9zI+P^C}+4bX~Cf?Y0s*;U-+$ zcQ$%XSy>hW%o?tbF=!>l2F$@+n{x}HTkX4%GTkbB+r()N&^E1r1g1Z`_gOR9GHvSsSIAZS8EhFgKZE zX5m6B9kBss0c0*}?O$8^>b>g>>quClf3>kWgPEZdI>)wDAfUAQyEyy?q;=9}dmRJU? zJu^(fzHqt!@ReV|C~U8I(t-N-g{rYDc3PwKW!H^N+eh}p-wo_@R+f?V?X45t!{H^> zTCn1=@9txaIL}lTrGL(84ZX1EwZhj~zJnBlIC&m>L3o0%7E4~@g|tLVg=%$r3P{`X zJ4ZbYdCRrUt$5Ph&Cm4m3ADO*2hnuO`u2X0SL!1&#>KlV!q5Klh~tytfN|>=#{1#u zc_HpcY41(UgJ)c4Vn^e^ki8H+ynn`!pj~qqu9+ur_c{u_!WIWL4;n~gZnd2d(6BaN zZJbQ`TihEOzn9bTVsLUvWFs#>DBS>yuMpYP{aQ9$=_RXBE{k(f7=1W&-(F6 zNqB^azwiKYz}p8u>Z=Z9lCr^-~P}+yk?yGC4M~ z4I6qr_pR8mjrbWWElE3Zd7Aa@5K)knV{A*x&*aO~qWJPpmor@&wua)ayS^Si*yw6R zZ@TwN_}yDWx9+XkCBWyVyGPh}4{ViOR9^BR- zWAum5AHJeVUonyTn4KV&v_QKb%7_X4uz-zmeRfyprbq0jW{3EnUh7qwGZsVzjgZPH zUDb=aZ2XLFv>R+ev^tFwK>{%Rt2@7kxSF!F_hz_ox6c__2)Kxcsfjq28C zhu7*)Zg{!=&22%te(UT-iS8D%x32Hj(tZov1Wv6g2OC{r=j>6FCo2A&@(R1LxqEiM zv!Hv5gj}>VJH0)vHpCtozc{5jgy{ykyg#^%l`pR4>KI3-~afv^@Mqq8G1U5d(O& zmj=7-#;KPe7w%cdD@>H9@5x6lM7u2O2|hy-ayQEw;k zJ)H~@V+YUu-FwJ{8m(^;wFM~%9=4V#D)^~K22_~zCH`zh|I_bK>041>akko1F=!cW zEBoR|2jKR#`D654SC%K+#|*yFigvwxAZi@7oS);ZT;29mc)wc$U8+#OqGw)zsi4;DyON#tZ6emTj>t>IEUHG9Xz5?qMmCX=><+^X-jR#F)lWf zPE9@afFEYj;RQ80d&#Ey-h)Qs=~VtRs2y!ehpOj(`>fdC=Bys(HHc1@r6NWWhKdXf?A(-aK3Z^YD!aM$b3xDt`gJtj~YjAt&8+Wf~T2an#ripgZ@iU_4jR`W|N|Y+XYMp+7h9 z1|g`1-&6gIsCv(_`M|5fj_o;QJ7jpT>cpy#apnbZX3psV-d(kU!5#^M5&Xeu^p5XU znw2u!`WB`rQP@M|L!|OTNba4Td zPOnbgC?zD{auWS`<+0TC+rAonwBR7lbG_R6S#+tu4(auI?5_rf%wpts*_dG zwADAj4J%aM34?jmAtpgGSPx(E@*HJE&Eb0e&Q$6Lb#y=&Iy40D?jM(w9j<`rdo9}PWQ`ZX%4a1y^ zdF*d1XEsSsw@Nq9ZTdRcXL+fm4;nAUUesm_$>_VVNpFAX5( zde@}~k@37)g;(6o36!5;#i|^e+Wnq!cCWL8KC2S^nxE<5NS)XWEtOzav&w?}4efF( zb>%C5%<2R6oDXR-UMk`@;lC{Qt`)Lzl@+Ql&Q1uqt z$r-i*f~maE7_S#wS<($w=#9%(uD_bq3lT5RNQX;A&`Uvz_4`fg-|XQ3D{3XaGFO~N z)|A+fb=ThL@WQ9GFfV3CW5u`=5Tk0yYfv99P5hqy%bBwN%ld!y7n$AHv+^F@)$0pO zBHDB^5?=-Uv*xq~_lzubsmWwgC*RH$DVbd=$@skK?Q*@Jd3KqyQ0l(q?7{aTcInA9 z3x8GAJ*zjUCe?1O$-UKJJgN!iFDB;lQxnx|HtG2r!ES)9QvT^m?ib9g`cFfy&`b-} z>m4;uchmxA*BUy$Nu~#Ss}3?AEtRRh_O7$F2nL>0RsFJR@wOo2o58qV-%a8KBH1Br zqF#;<9hYC~0>L37U^SA=%n$$3>ocFXaugkRPz?=!EK~R#Ry$ZUXsG;H)wODO4Pu?> zHSXF>sPqv##yS@0-_(G55n1>>f8X+`4op9p<%M;d!K=3Z`C~<23BefG*>u}amPnXK zO9hwX$#-P=3Gn!F#hfake2o2PH;;7vhwJ{0Mf!@Cf9t&l7iuZu)4SHXUM?#-{aucw z!}{q}vr0=-O%7Y*f-}vfUlZ)QNB;Qx&sl$p-d};){XSB}kMJkA?#+1pl#J-*G+NBh zoglMeWqFV)Nu&E2O`9F#s7oV8QP(xMF@FNYJ{+@2nb=<#28S3v(){D+SL}S7E(rk@ zyxv&IP3$nfG?%;)_#(Nla+0)A2~K+GAQ~e7s6j9=7)!XQsF~bb7F-!_Fm5 zN?$W+y`+NQ%t0~Civ}1^VLR^Iz!SJ!`o99+ujY0i7sHZl0$uwgYeB_C5HsbPDA2WO zBBWt*!k*qxueZ`TJ{gtKG?58t-V@rH*>{L9!zGJPBKt$D42M<$j>W6b_ibzGYtZ_` z|3Bup_CEd`^S>Vt>qk00aaPnkVdnThyr>Koj$@r())Wr@%gBc4h?`$7 z%N)O8*OQ@H_3fMa34?lDsu2zULr6%?yWwaE)XMd=$4D?Ja3qvM0 zw|ej0d-pTI=bV%3J^n8LhK2_BRIamn79)(*Vt!1{&ZGYCu-l!>1z~7EfB#@HADO_C zdw@i(Qco*_%b<^*>qE;D`H7}K{u2ybe~r$ABYMqOgsCdC)pOFGz}fi52CtKLN7SXd z42s9Uc`?V)CJNK7HYHu^5woii4UEsP?#HJleK|{-&yN3?;rOrLJ}}!<609x}$a>su zPN<@)2piPxJKyD4Pd|^RDI@1ZGaTJU1DownIiZn{JF}_rVbnC#u5#d~SOT~#BHbFZ zPhzsX&_=Xu2|27<>PP`LB*m{i(79YDCKcQbdJRWA5`BLpW>yFpOip}=`$ZZ&A*abb zp`BHCLMf6H(r`KuY^WdnabtlpKFo3tnMO5mh?I`OT?3dkhedbjXE3N%Ksk*NR60o#pyj0KZ ze(BNoMid*DZG5Q(6iD05;740c?kh)7;jv+A5&RXdeEv;GPIUPoYa!(+Ov71M0%7y@ z1g(GmbXm)nr>#aX&BwCPU!gvCt_M7AI6=#3*)H$(eXD2@EOSuF^OW53v&O%s{Yzf0 z?j~vDe`gar9?iw!WA}7}kIWsC*n|XZeFbG-Sm2b-q{w@zXOIRk$J`gZ<($6@)C+A& z8P>;0A%6sKSvYpu&&7nGzbfHW^k0S0#uv0y#xkChpwoAZm=2O^JR+?S@^BrdU7{(% zGRaN#JNhkz$9}rcKH94=q-tdjI4C{(wb1V z`LRYPDk%cnUWD2Om~oXz$|A#X>WD^U)zaQ%eI~VrDZ(CKU*-cjNtQWCS`?yWNigJ%V| z*?Th=;f>lFlkSGO&&lX|ZUYO%I1+K&d--?a@VPUY!(7~E>&sMd_`$h(-bj~>s=j;9 zk=rNl*42l^0EOx(GM!5G5&7vG;Y0pP;v0Lnu~{h3D&dV0vWws}5^ulRd|48gR9Ycv zc%Jm_h~8ts#Iv0uSJt5j@vTke7uCzdkeaiBnI~5o#L3?%2FLBr#la(8l%DdaG0@)U zI+_fYhx4iI3Z6)HNaKthq=%{JZWt8lMdu!1E&mdG9x|@% z9k`M61UfEt1gIhqe6F>{N^3^t?QQ-31~o@+S5`gBdl5>p66S7CyTe~;Pdw&P7OFC$3z&oL?!-kT zUBDRcEo2d+2}5_8(aqT`nM~7OoX30mOY;5$)}nVTH4*yl%T}DOi^)dViVe^6K$K-b z>ja$QYiu~KAxcp>w1p635ya@>?<@)VY-#*RU8LTW=+{}wjMsvz5K>f2bVKIa?z9&L z{j~J70%LX|@B$lmdI;2^CgE1xGDKnW@B9RcB1RlVHsT1L;o=i z-W`-H1zw0#+L@N4Zygca8nNf#(x}FBjBHAzbm-w`Mef7}ior$PrQUJAXX;oUZromB zQ7I^+B* z^>JSZ_x({rvm^{-PwEZJ%#EY=LX&u{n?Hm? z|AAlJ;i`Y*CcolX-hfkJ?}=&)`qPVM9Cxd=8o(1AmTzUd**$%~SxkWJl1x0Q(#K8o z6t01`mHKustqp6cuoS&z0L_0a1`~R%C(>-H&d_$m@ky$t8M!`e&c!@qjU*7C-g)3yYW@y82^q^Jv5!q^HSmmEQEgOEr6_m~SI7 zwRUH-MHJ~yem{6PipFlK&)XF#(+3phPVtZ;bSf^oygI<(@62}?!QZ}ldoXiXL!@-6 zPD(>W<+1XNEC;C6@F%x7j`{6Ue_UpW-9?qbIjKJxyY8!<-FN}KyF+eugWzVavi+f* zS3aDZkHHdB>Hd6wAeM2o>fq<>Wf@JCxx$+#7-v(ub^#bNv0WhYxuQDyE;9VlquBmq z>Fze7$id6Hl9UP+$2j^RnB#sd&1P8c=bLDab;^- zkuvsrvr5EE#p##RpT0h9L5G`Q$ZgWs#f1HBY!So6fJ>q|2ywF$^=XehTdyuM+&VHT zTz4P7*y0If^tAb6*Y3c#`f}grw2~K#hEBT$$D6C4g@sJ+>ZsiR6&$iMPbj(5Yf;+c z{k)}51*0`5DSEtIvZgRWr8j_fbzFWFztFwb^yu+{(v>17kwexDHEoK+WQv-(D`uhK(N3zvD?#V!Z+Q_x~G$a0(Im!h+p7tTduO*(}xFtvs zOq#;wbaCGlwk?S6Y>B8k%Wd5no{iI@h`-B$T+mRqD=BdsxPxS+WGdMQjD4ydU&|0* z4bnh+odwzYB~PPy`X#uo<0R*yDw#+a=LXldQ@8$Rc4>}ud&z^|oz%9iA8YDaeUTU4 z`|i%V`C5O8F|T=T9bs~P_ekD+!#~fKfY+S;G!K2&-+Ed4-A4g8Vh;ieMq~?xH^n<8 z?k3kdwfkGoHH8fCb0`=@PpxwkQ@s_gS^Bc<);)aS=~?-?)q+QAB5PAo*1--dRt{Ll zPeQoUiXCw)o`^B?tF|b~WUl@#e+JpdJ|8+^=OAn*KYd-_3LAdt(ZxwWK=(xJ`t0 zZG2>|&+1;uS~6^Q*owevy`7 z{a`I?h7MQGu(nOCEecdV1{k}E#DUg3EBZD|_d?8m zbDspdcM+@%;hOfM%nPs-w6w$P;mO^>eIKKc8JTseg`$Dxs7hbWjUc# zxEf10HQ_y{FdBSZG*}tSQoHo!&aH_A$FYs4AO+Ot==&a< znN^5j^G@VHProBb#Wzir`CSqWw|(9^j29!+s{>5#-r211 zH(N{`r-SZp zY-tGKlH4pO?6mLBa-pM9KaS#J~G(s`*+l2<`NYJbbrf-VA( z@7e|^D6FyrU!bi-pVB`@6;iz^bS2G8UU74cQvCmKcWuQ^rG?iXi{UxFo3 z)P5=Scd>I9I88x|J}mvv;q3U9-!nIxeLh6g381gT``3blq4Q6#ef9I%x@njlTe%Z$ z@*aliG~u1TT_P;uvF*G)Ht=k`c4yL%Z@Ta@xLkx7oQD)e@3cq0SJNfFKrWmf^ji!~ z`xF$(@PfV-gJU_Nj_vATLM`-k@{RAkTXaiRcP!#9EBOogGPwG)UheaS?(*Z;G^8(8 zBe%6Iyj=N;;w&ckXL718#VEhMJ7JR>WbZn@WRQgUxB|aaeWa`-=uEAS-MC0i%h;2E z`VX|2#1*rm($WrG-rIAcS!%*fV&kU#7Gu%gZ+{nr4H(NfMdMl&(6)*NH97(*>5zz1 zZXUbZYUS~7k~D=4;=1T}1$Ah~OaW@!sefdUSVBz4|r**7!N8J&myW>V(WOrP2v_HotBjz507a%Y9=c{G*omm802i(}pH zn8xhXc=3Fz3FWK%J?ldaDK~F7+^$bYG~6fFU+|l>%MAE@Ge^b5;+%hdjBd#x{=y#` zE1_QddvE1q*+CCP3r$r;E|m#vbU1%KTw&83aCa=p4DGKRMj0#JO61_+f=%{<mv%)r(p7Vt!J4VfSVT#OA2!SA4S*U2=)8NTM~-O%Kk?7xR5=rNQ9D< z&4p|dvcg@FthnrCoRN^d$++z8P}y7@&OYai+n=A`AMklT&-?j2&-?QpujlphJ96~W zI93_7Q#p1JI1!lnBH2>eD!|8rcM^cx)cA_(kt(l-&AeK(VWS_`nLN}Wfs_tR!7Htn zm3ZGTW#G+PBAKwHz8fYMm8vkbAZZ;PI0?IW_wTX$_wdImdl6k~;9Kf7sGA~aoljUw z4KF?wwwQiUK0N!O9HmsI*BkwFbT?3O=(!`p81_lrgee-?? zsd&67;rXhus&#z!A@TfbkoDd6N4U3tJghEoobc{BipXryrUiB-~T@%-zt`A(suWHgS_!cch{s+?#D%VC^ z`Mn=>t!rvAxH~;rt~_07p?3eR4vUTL?4>e!Pdf=@)z!X$=8B%F#V;qB8dfJ`yqOWZ z=*z*pbt@6CM&1h}m@d^#y8HS0s0<+<|IDF@ zC;U!st{i&tcVHdF01$c}jzQOM;e^LE>#CZ-r zUt`|XXL?Sa^y9-V0nN2&8OamE)ZIyU;kD#e0gu($IPV6x{F?odONJ&)dH3zs-(W1h zGee$SX%XU;T?ECwMZdo`D`owZz2PWSxW(V@SD~xU`5_Xc(>7BcQs>E6S&6X$e1qsm zn9YUW;)_pquF-Ky)Zz$0XBqj6pfogexmTfyl|Fsp5HY!X2ox@Z*{H5ez?vZJVDa!4 zJ%GU>$vG7=sJ3P!e!M)N?}6aHuP{b_7l!$n6ZX~jMm*nL*pGoG^VPbRyZGh#%&&My zd-|Iiw!hUacMHG4uSn28<(t55o!9M`p5v~P8sE~pZRHpL^{Xzw^ljO|1?mdv?WxJP zkA+D;+2NMP3mA`0)h}3Qa+i7wGMgmkz2WPtAxfAc@QVXl5tFaoH1xy#;i?@f0lp_^i1B|7ZRmXqJTHuu;OpH5KBHu0Hsfa zsb7`eiU(d2s~B$8IWIPxQ%RCQOLfDf#;m^c(Yf`BVD&s;iA8{RmYt>b7wpGAM4Eae zX?2j}U=Fgad)i@Ab2h4<{Wa4l=HY{moMa=NX8jERO(+Q zef4ATyL!#S41o{nIR$Gj69987!3?p6}EW~*1J zyQ}x}tq8Nx%{AqF`F2l&bMD=1kMVJl8uDSvt2TY|MAiT%yHUkXHv2&L^xvqueF(ZT z4oT64sceAQ$#qfma(*()gns*Bg$|N!W_?r@#uO}xZu+j3d+KsH?8m-{Q@L4ymM#S0 zRWx3BE~#9IHySMAEL|4$u-%Ob8+V!%G$>4OY_m1DbzVF;=XRcZ5wj&#WJy(>77;Q1 zXJX0R^f3@7knjB(6ws=Gk2hk9u5hI487pIMJu`hV0J=e?l?`#y*EC zrNFF?*Qyx8ylZCOdPf%;KyqX8?DFYKe7=7le?`w(Jup|iKA&-~qh+J&pa!S@$KC2C zkF)MOSnECvIcPcAP|xjTBc?$g+57g8>?Hs2urR?><8I1g*_?PJxrnt@1X*0OS+?`( zz|!jzn;^V*O7zf`Co_opEzIHtQ>rKm;{T3gNyv;RBO5z+G$ySmjAVW=JDcOha}m@w zn1dbEC-?J`yvirzL;rIs9&DU(BT(e zzMp9o7`FauF<|Mcdjiu!)~5PkesI_C+T~eBQ{wu_`n0dg0scG6qEfd^N1Z(EM8q{!g1=?8zLG3V+FCVWplI9OT9Yrge?QO zyEr|LURC?{&C7u#*`GB*N!J@!Mm}LxE?;YRLP@O^PN$@G+~GT@(Vw`U9-&s6y(ZOC zqOUa8>#P~1GHex=wAx-;y=7fP)XMEG4(37@ee89gK*aYFWYk$=!hduX^q{O8d}Vn8 zh#xcXl)qwf#rMt~P<*F`wSpR-KFLEXYShx2TC+jN%QGjQ9;En~bd4XCvV%{Q)_jw$ zAK!>!f|DHX4nHhd6L(Ht=5IJ>{rI}gtLrvkiN^0T2ANiyI*5unxkE{nI`d*Y!_Ury z6&A{CFKmq9GAwPxbR?1Q6XzWbV`bzwt5l^uI{anRP|S;MIt z)o`z`$UO;|%$GfOlKRig{_H25AGD@7UIWUL5zbMD`WvG$hizX;;&-Z94Sv<`b$2k{ zs30WZx$SjVuBV{Wp_=E70e8*71bocq=XW(h6}MM@yr3ck&V^N_`HQawJ0vvUx(mDG z>#kMHeyB#js0i7+Lv_u|A#eHA{q4we%QHJo%wL}^g+3pRN|&f`?RFp*d!nS7XgU28Q05LsST6f=+?5yPg?Ec8-LbH~?*MvY z36e18;z(c3tL6$n{QCO&t-U30&9OcKr?@u2R5Ouk^KarU((~s&a$(;m$+N3s-*^qS zcSAS@5EIx8x0p9O2aPa|fqV`br{NlrLRCWcjzRI%q3g;HZ<{+xp0Y#;vM2& zc}YI)vTSkPLtdWxrVHm`kqn7WF5^9#0f-Hr;;_oWBROV&#%*nO{EWtVLH`?qimVtR z4){$VD&jh>YlH(%i@{qSKoXUAYOOw&TU>&{p5I>65l3^~ODc;P6=N);+Ki;+PBG6d z>AOgz{%t&c7N{RUseM4Ey5!)CS^OE@sqrH>Q`s(}<{o+y>at~lj`QLYe>Rcp!<2i{^;Z-%PGcgMXL>%Xw5A$-c~&q~zCO~tJY z_ZWu%E2@3M=M9#64GFK?hczvO?TG%Gh3}`2u`IA?N48s&s>`Q|e_?RggL9u0DEODD zNfFoIyel5n@bEnT+e#VZD!-?E2XpeGMMv$3{Kx&C*GF@fAnp&xQ(b7Aqu}%3{{6IR zcmakMW$xN)JQbPRjhXR-{kKxeQpIWLXf&O`5p8aC5{kwbd|X$mR4Q5gAcw_U+<-f4 zDfR5d=tB+b59aQ8!a@=&;qY8o<1n=r&N zF7ExzH6&)2dp8gpt~wT9@|iQ0?$pDaU0MNo#VL(OZ*TY?UpF1>=yAO@2&9}$uLCz? z-4X{=aBGf2y&q_nQwBX~=Yy?_ok1G6pMb(jUw+Y~N&2{XkBP5H022k^kiFYMmyfPE zwJYa^+u#Im%-kXpLO=5ZqubeZezs(*&}W2U_oDKIg!XzSOyU+O+pkjLu${XM_`Sf;NBFN{M?NG z8dCBf^3$5#=X+IsdRP9`MrF609$KCdh!|QdDcQ7^Z&+?Jhwy*{ zX0L({s>fVnm71q@PHD~drxBxmCz&@&=+alM_=iuI;QJ-*E$1e^g4K-o5>{uZ=I@qV zKS85ELH!xfyBpm)fR!I2#aZFyKjvJ8MN5(4YFg|Cn>fUp1o=+NnimJ^Iw?`!s)V7` zLOxsWz?*aK5LNWKN_T%&ica`EsN;D7!fGW)1RnI6O)(u1&bmM0n!>Z7WjX+b2c!>_ zzkVj(&Fda>EzcruXL3IojvCNozdtNcu%<7{JR6kulOs|5F2?RI!wUgPGdO=s+Oq1Q zysn|ystRi$1~|Xj)8dT_5_WzTbMEZZGF1-CGCp}3>#mlOMbRd@V!y%Q^LcZFq9Drw z|IGR(dO`N~oG3T3aaD1ZU(wJjlXSfg-fub7LGYS}X5F*Gp=!hBf>RI$_pRfv7Ox+3e(C}*5dBokUNwJ}R~Fj;W8w+C?NF_z%vW=% zzN%Mke)?gLzuh0tIh$+kUVy~p?Z6haup>qOy>!lmV^Pq<2YG3i2WEG=@pYfl=B@yX zZceQ(JVQRoX6|Pf;&&m+2PXY3=@SQHzw{19>T!A$|MC*wd%QniWs4moWekD&>Ngk5 zTUGyw`d2SGQ&JxH3UO?K1(2R2J(jt@8Hr*${Vx}vZi;%XZ4`*^i0(DVXp&3Ztl`$!_ z>zDMweMNbeMQhso_7kJ2X0K0tp&F|V*)tisi1TT)BDnB#KM%5QEzLyNDW9~#iWIY< zn7--zn?T0MS7>X8)d5qcQV8}X)01Ziakk0e{5Eti{yuN3$Vr?8Ab$RkJ4QTP&fqDy z!zur;l&>Ft4M$kp&fx4y5EinyE&UDjG0ptFx%6@WFmp58X;Rb?$WO3%abS6q>iZ=g z;o^Znu1pJ!`*gKDrWZ4XuQa)aT7g&eXbB+zpBUE?gB5*#ZSUVSBiHLmtJ0nMn~K^0#0t5 z$)$!ef76$lbEszfN_wfWS5q@W-28PWJQITyCl#{wYr}p7!e`i`Tj+}k_q+%+qczy$ zU&zp!`@#TBkv{E_Yg_=Yrg2_R2_ePr&acgB^Z?Um>5OARhbA1SjX6l&&N=1(Z00_= ze>j9uvubH2De0tXb%;AV^*H~YEAO$*>j*wzo>e6R-&)?Z1BpYii-PMi;9wFepgWSN zeQ)*T2r{!ygqg2IlPeulV`$Hl|d96h3dkt zn6G6N?2(>bE^1qveJA0}(o`S{Ywtc8rAv!UL@?e8`DOKz<{cyR-=M#+8sj{lsL|1K za6?|qnSrIWRhc@w^82y@={I%CR_An(uVa5q@(&hxK?1qVgJ*)69yOZWO@GPPwC1Xs zD);%=i)(4KwnW(Q-I7>c%v8RVblvUTL$LVCS-0L(^zX*%gZS4}Q$MY>&}G|To}=F~ zV|W5|FW38iKzi>WAt2d#cCSijLmtOL-tlZN7a)$a9JVvv$zWyH*31>I+psuVVF}0@ zl~Ly-`cf&q&>mOLeG!v6ZZ7Vfau!K**?Y6|GvXD`gxC-%!kKlU{x8sVRAsqjiO zPrAN%!Yzn!-LE5WQffd~fzvWXSl!UFRQy3*b;6-VL5|<+l_z9evQ_$V&0)x{A*F3< zT*!5QUXqyYuwimRTS3xU`z{UayfH7K_4+5pu(Mli7#9tUpIH3|{b<#?;j>$1nM@x( zZ}p;O0M_1OqGYy;CH~zoh{!^9$ZR1qv;S3$%BzYk<6M zu94acYP{M<-^qufPll}Aq$?St#aNQ|f)ps_>>$MZuY=8d^Q?k075nzg`$zJ#?wc@H zCusNX)m+(b0fWlz8Ev+Kno6Op24Pc2NDKhKUp&6j$-C$N`(oWb`=U4d>;(i%F5D1Lu zGtuW@pueiOy7PS@)seN)KDKZsHKOV^R?=_rv9q5 zbnEKq(R;Y=29|9dwGE@QvaGQX;9>m>);R{UGGjttdj#8MDMnLa8>xo+iEV|7v5Nbz z+!4RsMtz3stYJOop(E;s*F<0mk1M&YbQ({2JY;7TU343iPOOKlJzh<66{9Ug3M|SbMJ(Ln?ZoO+?_%BJWe@3as(Dc6JQzyy! zp_~lhCudCP&$;EL`S{SE>(V)^1d{x#`x1M5mquu*4Gh+{Piqo|E50255IwP$YN)n^ zWCu(@{>CWbglqh+-I>?`KdctRak@bytbgc-%NMLwDAi}5kp=O&$d+k&w73mc{qTGK zcr@3ee&NG$NN#$Wi1m`W?r@-+n$HvKNv_5Z-c}WB7bpCr?kpQ7S!?&Yg zp3t_KTKlmEzQi29;n^^LeAJ(8bW~os%XfbFem=WGTdQM-h^XQ7vJ#=!RYj9i%8VBM z-tfHYi(j`u6r_@b%zcUnTa?9vu>6Lzkd1T9yWKl1X%e?9-97buxa!xAEXo!(m!h3Q zX$?jz$G=KnUBLAO{Kx(Ja{L^*wU+AuV?U2$qUP!vJ-)zfCRNKP$&F_?t}7}5U1hNA zf31o8nFPtW*ZG#}vOsm9BotSx^qViC3%FR$9CkQ#boldx1d5#phNWL``#0LMP$e0V zKJ(_j;rcDJ1zDF9_oQm-7w-vXS0(T0kaIpeHUz(0ABQLSI_XH)TbC`n|8b0|iv*+9 zH~`)H7l{iq+tu0cGP6jMgi8dCdGmVsiOZ4mHQ5ur+U`vy7v_R}>a|hU`wq%p4~J#n zY4x)(qwYw^JfMWtG(0##K6tWmRhGJQ7qO~{C^nz@+T72qzx6#_TC4Hc_^yrLg4>Ll z1xB1tR$q76G`9jQIB}55YdyyAE1QX#VpEkZbsvV?CQ{;Be!KW*yO2Xrjy@+@8#nKj zMoYZslJ);9r=Ox2C1Bz?@-ba~slr=TXSXMO;;Fll#+G#EW1DYr)?<;WKj+qSOb@8# z20TOBX;-_}UhO}Q881dZ+V^m~&fs`$X00+a-&;#f z*+#!wu-1|WDIrnTHtq|7Qv=%dYpXZMw4tn(_F4Q~9Lnd<8XC(^^Kg`N*=kvF#5jfu zE%9_&ULJ(9aT?!WkYom5%KKF&Hbe+yZyI@( zlidDB+x}W?jG1TNub7gNi9b+P(}8uTSk{BD(jEK0gA?W3sb!6NmfhF!EuRn6fAuyV z2m~6I9=|Y3dg?B%(Y2`C_Vkv>E^GOB?g6Dp$Mz_92GP`T!IJk!I&QwMddD}5@_Wev zx2(P*XaOeMk5fG(NaG)T+&`l|N7E)a>Irj6x_3gUw+q%HmFjIT3x+Zl666>$ot{X=b2E! ztM_smK&d;|4hFK$pUebn-Gj`CSzqm~$OD`E$*kFa)V6qNo9-HJ_@u1lj21+TSDi&naQn!qrd?=Q_*Qo!cM(N*tCj6^NojGGe}JnrQxD>t|#LS_kJ;(dT*c zw`TbsXeYJIYuYrt^bFZ8P&?GERUWj-uQ-}C|A+D01>-+If_(4WFB>4Ay{&HuXh@$owylW1zp64i^Y!>S zd20gTtUh9G@$q{fs-_hR`cP7BOv3;A^;Ow6<3B>1-5%LKfsB8l%HzFLw-;87W8CDB z2&2SEhsi45lvxW^$#Y)R_A=L=bfs9BoaRo}zI65ld357EiycuD%~2?{JrnsclEvjv zC4IRnuZrwhPVD%x+q8d1^bL|&_dbAlpezpjUD5j{-&Dmf?S$`^I@*5YGO}NkA&~Bx zZ~cu1Ud_f(oTGZ2`nd$!fpd}7g?lWkLGoS45>m~H2wkw1H8)(Vl0-xGuo1z)jM)Ufo{PF?Rm&9 z5LSo~Ko$%S!g4qI@%-9+P7fn%pRRkJ#{>z>&1xI2i2R0Rw{V^)1m$kb0WYQuzJc%U z`TwBfuHB`KyR7{_4i$xlQTDt74yWV82O-E=jk7m!TvVi7u$eg-9n1z0go!A&e=y4( zK&}%(-DH=M?sRJ0N8|92WjxIO18jg!Ro4!98@-H#>>xRmZT~ygGTb>W^SqADmhJ#u zr{AO^C5Ux4x#5qw==nq{_Lprr6~^D(iau{AK{)DPVPnSINw$e~MJva{1dgd?!-T3~ zVfxW@43s-Q>?UpL#sJtZ8K&(;^^YRo5%K^MQ!q3D4Y%!+yVnB0fQW1kkmy7xj@s zng>)MVu1Q4WR=OChI`&Yn~D$17|1RlRp>(X(6Mbsly=AzxaURrD%!nzS-l3=>I`*- zkf)!aI*JFre1@VguOhDqqtz}V6U9xL%VYO1a@Gi=fa_E@NIZgGl|oTh?W(AXISa6{ zph8CQ@D%i4or89X2w>-K*d7N7?6%_HIDo`XTh8a~5l=$!6YHG&)3&u039TJaJs{p1AbR zQfw4)1IQj?;ws|w{J0on)2KLIli1G@5K(@5ofIWZ#WKSt2U2jlr^w;C#_*>|3!2WS}-iLiPjdv#T`-q#z#o2Jbi#s| zx#k_5?7J4^r9svwDzX@j$r20Uv(;R>u;PaT8** z58<`W_Aw~BNxS7y-;Qop0q4Eu&w6I&q4yk{T z(`Gi911Ja&d>Hn0>G^=!OPW3&w&gl4uK3uO=(YR8r%8Lc>XGnX5^0$?1U1qG?~Cq8 zz%^BUrp}3fxpulAwpnNL%y#PRcJA3I!ZuqbAyUUor0EYauu&%BG)E^cPy~`eJhA>a zE<$YU96{K^%9&OHXuTC+da4sKbMojc#eHueW?t|YY?ZA@Xvp$VUaC-PS0om<1 zeiix_7-~Wc!EDbUA4Z^&S;QR5^PU0*{QWQ>%{t1VfYCEFrWItgxf^4h^<^WeQN-UWr-fk{1A{)ER0bp{Q%{Vb>X-QhKU0dUl<4=!(LEi8u=;VFZq|?v zbdD}ugYZFYt_Xn?w#y!^usDU{KW#IyqPN?rioD+Gbd8=o+F5$MC_p^6kvTqvb_wnF zhhCJaTdw;P^+mgS9#6pA_}eVOCrrNJFxdZ_>VBJk*dN zZd1(um|)*lOCAZIO@!H&zC?Isee_RHYt|k=+NSh3|J2?&pyDA|NNLqOUk7 z1}U}IkJGIGug+7A%6=k7oE{Al{HL86UZPMV2KX4QA064uudQl4+84Kjnj{hZsV?pr z%U*JibTsBItx@l3FTT}%5T|+WgeStd&Cj0#4X_?B-6z#wteTPB=i9v>clgAHw+y*$ zN)H#46IjA3U@arHM$T(zl11rnAI`~a&+*gX5m!-lR?P1bqIWcl1vF~3&^P=RB=I}L-rQu^RWdcLRN%1GhEdsZ znBTW+@v-<&zxs~C;`dnEDZLenoKP*aR`{yyvA>C5Qykq3{Z87p(Rcp+U&f>0ff-jB z^O1V+yoEvQ9OLt%QnzAb8G$^LkV^mJSu^Ig$iib$Z$WfeRZ1qglbT6gy=QX`{cy4} zpk&ApwDB8&iqJ>#ZLRt=iO58slATC;G-(7 zYDrH@jj8K&_n-lN_z|>zw@^$yJNFh@5w;tCgE;sj`Gy~r&zN3|i9I`y5M}*`(P$iQ z*q;7j0Bh{@6R2Jt?>z-i^v4xBp6VzJQHxF|KJ<(l7jT9QHQ9Hf;-`(~5X!>Zn$R37 z^8miQTdoemeTZ7={fA^UsXtAawHXNhL0@T$@qv>!l|5H2>V0)m=>3rCJAyH6l*KDI zYlf*1j9EZFw2Bz-Ielu770IhO+P>u}GE*Zv?3Tn2^!Y*KFl&b zj*8+Wxg!z|1SA@9AHxj*Bc*kSW5dL$T8suO(JyTj_&TgZ!tN>(3la~juJrVG5^O20 z_n{pEO~kQU*zZ1mcNx7V7c~ zSicE<=wCdbPZiUAvW~RfIK>$A9BlkfRACCwLYB_oO9Bw^P9|oWv`Gi-D&T-<3(SFq z19KmaxYw!%5Ma=}KHiRf05N|HrY%8=v~Z|+ro)W>C`vmSetrWU^aVm{x@nOd4Hd~H z5={mtE<(70d}_~yRvGBYFWmY{utH^egIAAr=K5-LQM2%l9)Qzc?YoLhvy?t9lkSFE zu5*K76~CU}es&|c=ECKE(0d@Z^`$5O9L^!u#}hnhe`YP+0cP3v8_;*C=)&_ClQtRG z+pqJ11@_AV54WQPt(AqR(!ekV%gB$e?3R-AcOgeMOq2r>MSPh07|0gs7}{fe2aet{ zdqGnjBOuToIxO`fqVN4?z+fq2wTU1N=*;d`PH#I##Zfx|xun)xuJN=@ShOB8ZQp6K z07~ZQ(aUq%^oa~tLmPk1t{LlyY{CSOWZamKm1?{;jMKSyJQMwhX5xI42G8j-x5Ycv z9Zq*^@0QrSy<@X@gt@QUJO{sH0IM&DY=T1$E#d*K1d zGp0K~RS;-9{K}woNwa2i%myVZDgeiUKlluY&i_>{l zV6b;!nC~mb#gq?VBuSHHdcj)k*ZTn=&N~sj&6X9v44sMFeRnej-k)MzE*m9a8HBz; zy|>xzLNmgXLKR`F4X0zLxK!^_mJ#2;re~a>meX;}lJOsaY`e!D&_DC|A%Hh&witYc z__<>p+$Rn+wWNC&0HW)XD=iOz1U2G_;x5%sd=m_cfYVhpA=+uc6-O{KTwx{h@Q55w z^zt;@OzMsTnnZ|UdM{Z=(N&krfj%ZcB@Xo&HVl}|QTqQ(6`h2CX*M32`4nEBEbpF4 z5k!T$e5~Q&p6}^X_QyUelB|8A%Q;z)Zum`@$s;z-+V&<(8TI{B`d!H%?nbx1j;H@9 zX7YXfa_;I$^LM$&4=?_`)vH_+V#n{qhq|roYIF&)o)C?#TiD$i?)O=LS6qgrZi9@uO2G#Vn-h&>v>MDQjy0GG;pidjO+-0-`nm z5N9IjF*6-h03fMc0P^?~(4b6*Gt*59fP^L@43H&}6j7_#|Bhj1mcTAI84afaDiCK{ zF_EtC!-H%ySFfKU?<8Qh5nKl1~Ygr&RoenC}4kr&IH3D*}L>Od_bCj_eqRO_$jME;|776AkuDe|NnKSe3;Co31NWCs=7% zFNyFy4<>dD4DY!cn6U7$wbhxu6-wZhhUo$T)#!!w|Rp@V6BN*rGBUB~kXmGVip9ym*QOCR;IGXVfg3RkD;~>axA0|jcBa5^cgUA=9{*RXfSdn zy{ra!6aHwsX-Nmb2&uw0OF54M%!pwk2b4DT?^>rsy$RSp*ByW*if930uvqRlJOqTo z(gRF98a_7I zj5ir`aL&^JM^v>@X)N?ZcJ<>&mOgFqx-bg~^Kkn4q~EY%9N`7oD<^zv!&MVmj4{c9 z@bzMO5v75B7%V1=;29ZK>Aj|_Y)1u}4kN!~uq&j5NFPiv`#Ipl_I-~zz}Oe6@YS^+ zR)nrWPLbPRQYcmV@Eef7u5muG{(M?t+V9W^jOKT$k>`1eptgw8vZYP%4VpZaOI}6$a$!giE3~r@8mCW(+frgSZkLJC~ zap3EOGV08%Fq8?DSD;{fhs9Yi$zLmsEo$pev}uzLcoAaxLhaNBL<0*(aDX zMXKPaG6_@8g_+W)FfF#d4s3M4@EiRp2SLW?xJbsdA}WQS z;ur(x?{9qgz66DQyGg%b!m&P`2BHvP4Y#pAz5bkv{3)9EfxjCjgl%o|carf!WkCn{ zc?lq(J>58W3lBt=;NoBCg%3{vCcR`+T0ozFMJO@P>l|>HNX4!Y97I2UcQ8M!qW=xR zlY@QfHxfxeWmUg~@?tyJW}xu_>A$06JG|oB$;I%j*S}MzS%6SgdV>yBd>OPicWV>a z-Pq?XPeU9KKs_Wv3mz|m^n_I$W$X!4Gcd!MI?@R)Jv6yVIT6-j+ZTHFoktE zMB~9q%aqccKbeptwc&Sp`bfm}Uw^s6H|5Z5#I~G{GS{t>x8w9^3|SxleB>!#;?cYS z7Q8$sR2+DXR7@GJ*WMt%Ca3zhngVv^Y~c##8!wX2rD(7!Mren3*w1trpNhCfuVfdZ zJbj^4xSyvQdob?>dwhF5Mjx^LvrQW`0jF~z?;Y!xx-aITU=+UVT{NaBYg^}~Nvy5Q zl}Ge427u;EIb1R#O`n{;RFGuSL-d4}bJrgx>ysciAJ46~oVp!8Cb<%Td7gGOV0Bcy zZF*3oEO=6d=D@l-6i1P5Vi57V9-&dF3=GzND4AXlOKqQeJEuGfo`itBkiW*BBZ_gn z|E3fDY|FMz?E8LbBd3J!kZ}3msTmC$1Qg9Y@k$XJ$zmFEsFv}q&U-H0-XNq|T%yHb zp1c3DuCOO>&;fkpAM(lDyis%qxwy+55i15ul|l5i|I{{cH3T1Nb(UjVd>}NOTA^$_ z)}?^wyGE!RcoOay&@lE)G5dK&GD5ce&T(PYmQP1WH$%*8i@{0I9Kc=kC@nS2oq~c* zwwoN7$a|D6gv#ug^iNbst3|$q^yaaXFaNqahzxT8c8@s@5H4`+A^1eo{Oe}Wit)lw zRs0XWJ^*I>&jNS~6*b5z1yHm}0+l2B%`G|T^&aUa?~ zy*LqIfU?=fK8`HyaXkPEeOj(7AAJI3%?-#KaDCwC^}kgU`g{GIX~S0mVEC(b)rX}% z#aWo$E%%?-gU66R1ZcADaU^|A8t-2e^piyI z30tp-07<_arK z=fU2^#2ozW89+n(10X-QlN_L%Zr9 zr>^A~c%b?8RRT(YCfxvp=ED{x?^-E)pGLoRG-cPhshSGTrf8%pCk5zKZEZS6UONKV zMKi_dG%ulH(N#+lX+e=zCGxE92;N6m0zTy<`P;0r9P!*N1d~=g1>;M>t{0~@7kjUP zjq`eN^3Y216YA4&$%|wv`V)cTPX`}o!v@Oe(7VEJyfBf6{4kZ~$Om+o{8B0HERq}R z8uh%zHtwjH&IzJ35h5IKX2CfQoA@BG{maOBi$8+%z_Y_D>La^#vYri zoBI0>KpPDHwzLH!X!)JS49L$yTBZL12U+VGh)^+b{6a(CE}Ekegy;J6rP3>m9_!i& zCY@f4LBY6Hh)oXmvgH>tFou3uAuIOp0ygfmgSZsD0f|!J65eqQo_a`eTA)WC>U)Js zHP0C036@J|WjU$vq@YZ|lL6?KpH}FIo5w}V(wu}vqrtxoTbn0$oBM{jaZZ2 zKlS|IG&lT1he7csp|C4y+?c8vz?1lfnN<4vSrtkSlk`{`=PL_QMBFxub=2MQ{S?Xv|^~*AlI7B5qFRC6M%yQAZN@f1)8_)D&CC|FeJtD7FR<=+Wgbra4qo)Jw~@>#?V3I-6vZgZ`doZ=~>rD5WBI? z*JaBFgffOp^ptM1MJuUZj%pbRF}-5k%R4W(suxr!J?8P(g6uvvgt^J9-%?jy_c=pw z|K<+c_%gI&jT&WL51R3u@QXq*)q6o@PUjOhZ@j8$_fPYzN`=Ws9^I3Btqspgi>}oh zo!lYVP6oe6*i$9M^<;}p>c43~ytUZu0ji7hLD^&wWpL`KNi`(C)_c?<<~e&$fYPC@+Em_6@SQxB<<{kS9M65~Sel zop?drk)Ht28fGmbvSwo7(dEftwY~?q8)`RR>^Z`cnsXe`+@4iH+RiA6gQ_lfX;Dg3@u>N9ykCq-dv|dh>vUZM ze+(Zn;A7UjcJmhG5y59@_;xmGyt1rnKFar-+VU6Z(OCIP@N8&7lnyL9q?`ruHW%$1 zXwS7_gcI?7+%sx@2Px3G`=iTJ?)A1y+o1!x=={ofxhcV3(nB+(i0u>G0GK8vE`1YO zDc|n1E~+#YiIVp4s(#|6Qr;r;t4bGGc_GV5ewrP617gP@yH*mO-Qt*cTikZVRV^_i z>SV3onp}hKLC&%I8Y=}RhS#MBW%a+2Y5JJH6p_xb62eD$8&=d&u7@c|A(ma?YR;*{ zWxuM)jJ(U3;omwC$VSi6PqR&8u!V+M=BGqv;Z`E1n8)`p|Iw9TTXxokS4vg%3WpS4~uHT`oo@cMwRFh=I>ZPD9xOGmz6bjOC5B&-vaovR6P?b^kvx@ zWbI}wem#d^PPeU_(44IkEi zEwsMyA{eJT{9?jpk-m1zlNw#>bLuh_tABUdi)>fhR&{0$6YOA7fLqJ`YmDVW zIjB`O(Bx@y<34IcU;8a$$V2-f;tqw?@!7zza?4U8UXu-9pDz1a09Qg{I`7${Ti6#r zw<%4#Q(4?BE@GeE|Hd^taURE*3DH4L6=-bP7ZkA|&X+H%=-ph`qcp!#9f7)@Avws- z|1o~+Xz26BAY17q@qPmL&5V`@39al0Za`l&9;t)uU+ryfxcpwl)8TG=_uHMX4P-8B z!<*BBl;KtDZHI>TIH+}eB08Y0@c79;8QJ#N(EAYBjLKJn+FbE3E%W*`-`!%DafSOx zt^CuaY@04$TU>vcW3$nTHO=#sHR5N_>6sh1O|Oq3@H)Y+3$!wf{frOPp8AGW@Sn2# zZQc6g$j>XYe&L_%Wm)TZrBhQBPF5{<77vn_lE=B%%{)!7$aIHzUu9jMnF^U`ZjO%p zy0m7o8pzXt4pZ;fpZKy@zR!MZs)S|%hW1^wEQ`VjcuImxYl4P{l|x#@LH;+VrwWw% zE?cjUUzh0TmG1Ti`*ldXo?7hR%<+1feCOD2BsiJ|{Q~w2V;%dMSR52T#^q5?h*J9Q ze?OjRE9ExZkG;Y#$W!-f%m_^B82*w`qhnt=Fnu1gEjSk63CAF#5qA577Uk&X2ibQj z6%!9-ZGL#qYk)LN>QiUd1;!+o{r}CY3SRDC92@5GclwcVHuxLfkJIAq*dFaQ&AG+7o0TTKW4pR{(v@0OZ;_hS1alCu9i!l zR=vf?v3xj&V(M#qbKoW=iH%1?Cfj0`Q&5dEc+ua!%S6k=R?_VcK5?CYakk}|B>~j- zBz_FBL7ge@x_SbdCK_Y&n$9tLUW;bwn~-gA4fUVGW8~)oSQ}#??|ce;>wE3{;cs1A za$_3WJ&lj{liN0U_pbA^>NMwY`~E(qL@9w`ri5P=yG@vd_f_aEm^)Foqlk$7a30yk z860ls}m?k~F)&O($& z^(RP=KF@!OZWAr?-Wh##?dd%1ghJAhr)=vC@_zgn3bIU4{;*_S57KWT z@3-37WP{Gua^-+0-rDSb|C}LG4=-Gcv!Q*Fb8D=k-PyiVzhO&$wC^OVesbz2vgAR0 z`Fx%{|4kdYnP!Q9e`v%IChP~5c>U^E$eA(SnK`)Hi4*vjEnfAP66p*N?k4DYed>f` zsOM(5LxNrSm!B^#?|pdN$dwZ*0MBmiP@48TgS9z$8rdCKtU1g=)BESApF!Tn(G7+rn8`-f4Luhq;+hd*#&p`PbUyTNq(m zaG?E<*L)v!dFiS>a;`^MhWOe_An+`vVPmi(;Wr+%NXWjfnI!gIA zRiJhsTc*+>v}+NPkk$!F=FTy*yEP3j;BW9+Ch)$>s=EG4HtQd6S>(n(iQWHq&A#$n z1GGj6v%SLA0OGE%N~%(){aa?7qP!e%pxe9t90^cSUlIn*_`m=e^h;UJX_!Ye_JhTwzXHhTkq1^8YFgG zE$^0=*50c|&1k3{MMY84Wv`-&AVz9$DN!USu}9KWqBaqG{L;_&uit<7ulu<7+}C-o zbMEUzb_M^DeJdb_SQN%yf&~~^3o8fn%q6=usw`D=>?+xy!6#VnHZ?^83q*IO2krVYN$45wwj zc=zqo8wB2U(YD`-=q6{9=bjvko^rlp0NVLudHCg(mu>mIQ?Jg*(KoGl3+^pJ+!H52 z*fUsId@AtZXJZ+zV@RdW(19H?_a!nf5uNJQu-emND*!I*k?$>RL*Q`Pftt4xPlZpk z80Je#p^2qFEfms@?-$)s7=Bu;kmD}K6;R=tGnM{kGpMb6;S3i+(0$WDWrPK_ep~32 z7mJBq-kU=B-CO0Zm>RB0NX;isSqI23U$;gH9yW13ZE^G0MTsxER9(S{?kc1a``_Du zpjJN%LY~VK05tC|Dfx7n4lmJhZnk8ytLPD{T<8^4Fz%WbZd}OwlvQWmXGP%(^}h2= z@hje*ldmSjO7u3!>9EleUChm5bnaQYh%Hd+ZDB@9we3*JW`P<>`?oR7yV8qC9jl>h zAFA6vm~_VU88ChXQr4B9>aSX_y*g7 zzS)YPw~N}-ew4aRDT5w&`S3o*%4G+x`d!AWTbOVc`KelCmX9E-W?SoEBkf60s|Xc2 z@Vs`MVP_(A@an+t2I-kt;*a&JotM3sEDsaT#W3D6VtL(V050HYJvqWYVnJp3PggBk zWP<{3xA9q=Fdom05KmU~dSnxyt)crFxBubz^V;F+k@Df^j-i><2^Krx`atWiiH29p zfK&D(KeCHj10?&<-ckffedJjPW?q0Q_N;>6%0R~s(A;vz@`4i?w3{<{lIA(;aFw4D z>`f}q(R|c&yPB8XlqfYQYZ)*(K2B;8Pu>@$3J(?rVtoE3yW#aABgccyiyMKQ(B{|E zSF`DENqVG-d-Db;FXih;({tH_9S&WM?0MrW?VhnpCH3r!2gy_Sh^yDM;pk+!G>7U_ z)(}PNg_Xdhd-H0gw6D+g(qB)j!?x|L!#ZQt2Axl@;MJL*8xPX1eoCgvX$KKsa@Qx^ z_^wn!&mH>+wK~Ezo`ntWERQy3ZK{9=U38Kn9W;RM6+nlseP+D+sf8^|F42S<9Vj;E z0%Tnp3dsV3zpQgTq=%oOavB~;A2~D>8_a_hV~#ImCoZ>Q1YT&rM&q9kz|W}R?cToE zJ$UFRYCxmOi&EaSXRgIQ%=YULfs93%9LG+9rSMaOsAnf))I)(6b5ZC@Y(k8hmyQZo zy*2}V5==$3%AeGeQrj<&s(h|)WCzWIC6WndnE!7vO8sUIg z{6OO!(Yn&4=G{r^1zW94HXCx_lAH@L%GZ$K^E|UgRO?&z*fxCk@otkE+6>zCdgR@6^lHyku! zGhtWV#fptmNqY7sS{9amhFIaD-I5}u2Ie@WMI-aqr5RcH`?dxW>fv(}mo=GnI!@*M z0ql&CfgQE~i@zS8j0R-A{|IK5dZWw7C6JvsMgu?RkS<2jMl617Ok~m0SBAlJSE#Pa zOD(NCR&^lilNHAI%Oy8x{c4f!dk+OuZ)+{XM9G(m>_*1V%mlQjW|14ufO3G=|EAhl z7j*AAoN!P-5j8XSLDXU@|6E0GAgA^C*MQb*{(vu)2H+qi=KixF>f^PmqyC3|hXXO( z3GHfwosF+k>4u499d7N5R59>0LT#RWdfi|96^&VYR?|=PNnAe%)dU=NS_DW>%diNH z9OT=Sohz;&d(HMg@gr$+QZGa7fUDf{16JdYGS$4g_Az-Dm7P|*=l65@p=|}s{6tMd z2d{huMkD3dK-lU@-9J&{Ii$3+U=PmEfr(jU&BDDHag#xS0wsLsOYXc6uKgnQxhGk9 z=oa-NcyU!~I?qsapfPPve5-8D0trV9G`>BSo7r;1x9)xyKh4=o8w;4H_kLvHfz}|I zmKp3D%ftbd7gy9V9*pCo%v8ZxJJ%+PxYj$U9f4vhNwq#3P_RS&cPr{HYNI_ma(4MW z*srB3H!#`%Oq*R)s+!-2W{HLrIPvn>21T>e-+{?@_V*NSQ#95_VFwKv5qxAlwgHVk z)%C0d5>cG928B8~*RLETA9l)z%PW5UR{0+dPN9m4+QT&IuJ~nJ#8{Ix^_9{uj_o@J2c-3D&SXJh85ojFQ1eXE;(bZL<1af z;q25~*$C3;@sHow?D}Wi?n(ZP;wQ`*fEg$hw>R*VJ)TAyd=Y+@`pCK5rIMH0chT$W z$ym^%<_sI1>>#2hr~9Ng!YlNSsLB<*9x3xdMx_xH1tsPisbXVQ4xlWL!U z^PXj6{vF=zJ(g1kjMA@Hj@-QySb|sSL3&ugeufwL4|7thEq=7CmEp70+NTA*-_Q=f zUv07I^0(h_9w<{9=RQ?-NVJEvZ=TOay+LP@!?{>yi{FFD#Vai9y<|aa)tE>qp!?JS zynHl$oko33(){^-o;o8S3b6ha{vf+~Xv^}eUQ8=lGx?a!dMEz$We2X24VHK+eUhY) zC*rc_D^$lG9A1ssZ%QR=-kXtz2p;e%ank=x4M9t@7--2Wv!uNCW82nKM>uR^zA*!x zdwo1GN{d2GB6el>E0lBQpnqz~+RJ3p&S*E26x01#&ucs;eO5ExMQ&f{o%!x`My^#I zl@EBTWzn%qEcY^GAVix~_PiXve!Jp$+>G^|S|tg}sV?(<65CH{krwrJr6^Bl(byX1 zZh=#tE6?2~b7iY2OwMJey#3;rdH8^<{f@uKjZyzomPXv zP4|5v4Xwd??!2dVE!9vbaUWz4iXVmk+-j@h*@O%p(^c@aqYBx$q(j zH}+wa?>|44&VVZB&8@*Qcwuq~K#WQf=-CITgT9CSM%?70gdg%`gW$f0Y4bTIGi|)(P7M8 zgv)xjNev$e);zb@$QI%3dpxmh>HWq!la=;%(xY7K?pmtSBE6A3bJztI?+7{Kd0TrM zpRK|y)g2Ywl!0FR<9VBU{^&?%-#;ix-9eZ9{Ohpwm(449-QU9}c_K0Es(y=tNM?5} z&__>~ST7z71cmXbRn%GU2pPZ10S)LwjSU(=+I&bY>{JP`U)R2(Cc7`5TVJ7!(@tX= zrT_<4mbuHj33qM@+|F@n65Ex;sH3@$bM7ju*-B%Dw67& zT^vtS_uo0rLM4U$Dkpz`v8W%>sQQxlRdn2{eP4vbj!8Hx)L>O2B!lCf+VU}ny4#Sg z=ZwF0Xbmc?zVR`w=lteP?OoHuc|ntaX5FJ=-Cat#t$4ay@X6JrPOD#5_g&_^1HPlL z_CwnC%md&dc6A@eMZ@he&jv(b{>{N{?1MH_z6DJC_f7c*vX{-uJn`zA#hPuYTaZ0@$bIeZ|wo` z#s+1f{|g3+D3W}`6o>h0P3ed&3+$mLC0Fs=ZV8jyXP^a5=6bW6(+G z0>=It@QN%B!XGYSp10l;cpvx5i+4}KN0G+Yqiu-aga~Q&Ycyh>Vg(Hp+d08m!vP$y zk?%u>2adr}(^`T}Lfo`}v$#m@7OuiB4Y$|Eoc7We2D87J4`)ibm&iC!H;uxA49BWx z$Z{y~*Lb?%Jrn+Og2v*lsf&l|t*Kc}BUG)(#E7CfG}aoA%T_q88%+9FxjKW~mT9sA z_5IjR0Yq4&t+;Hbt0~-kYoHe(p(#gC87gek2~-nRtBQje?fh5t2+|#Sv0gozmM|XS zeGBMe^zwCYnQ+d#k8CQxm-ACb)tM>8;!ViVjv>h zX`Ev23xZr`_jo<-s_`u1R$vs##w1m$o&6*Ry510nQs)7*M>wg-6n zUd=FIXFqyk?sdk%0E-n#wj;dadfoLEYF7GsM>s#6Jdn-#<%~8696g^`<{xP|&pQN9ao*livJz9M@eOzkhZ>W!muDvk+DT0zo@r?XKZ~@#J{D8wJPV=Y(n^G#7q@ zKjL7i(&;%3G)R>p9xAT9w)3yM8KdJ`GO6c|_gmxDbq_gVqU=aYinD!@ci|8y9Zb2K zYE)7$aTM^&{o1>iM3?=n4OMY@I;|h z>#_mRW6HoDy0%zSsx8L&{j9VVxyC(?Hopnyo>*3=U)Z~K`ZZ(=U^{q-{F9R$zc02o z(weLHu;O}uz2%a|))qxJ?=t2|*U)iw0DY1}|4lyf7gy2pRe>JRk66?O7kPd%z`JrI z@}h2Q5h{Jb03xOj{<+lp*gI|m@;0vfZfej*i>?%5%`_d#Dv zWv~Fy@RER{fA(nMp}BWjX8K}M$a5bc|IUGf3@uqg78Bl^FnVZJ>6F~5;udCq0SHTX zN&+J|xg5)utS>LVgb>7wVpkMP184A&$%ydMj?WBWSQmbHZ#y)3irbSAeeRA^1<=wN@ zTI@2+SS2MZjJ-S0HuKvnA9&hWp;}QnAYfkfzUpxb8iupIEckZEIS;uY8qP$S%_zK| z&iyMt5jk|&KC<$KDsh7h8d(U)7I_wE+jYcC5ecSicN;1{{q{2*rN|#$>c7ytODd92 z;_eJ**T}Jf0-RGAu$_e6C0M;j-OwVQ-k|y2C+}tWJpE=M zf3T@|Ahr_MHLxyi-T9PIb7J@Q)B#`SA!Ix67MzNc+bM6d^Tj~K;39kN2ONC-M_HtE zJK9Fy)7fNK-2veo@O@>oIH!dDz&Dk<|HN1#t+xs}u&pdDiGX_TIO$}{&rq`+n@3@n z-g>aF+!=eK+M}$e7!dTXmZ5GNq z)mXW?=&zmliUBJQK@!ri?uZ$=y|Z=;O4(oB0FJ?lES1c-s?N3*c6STdVAg^7WDw#X_k2`+S*9)v)e7M zw*Javr+_6BvI}uRH37BPmSko7()BoV|Hh6*Ce%6|@oHJiSnGw@)U|>2_|;g=*Mgai zxwlPcrF967&na2N(3*$ki0D=!-&bBmU)*+1bQ89k$#Ywm52pa}Cc5?%rg}8vE@driU46)5)usAZ&}cf!GM@ zo8mZ6W~PjCR%1r|C-Z63?#tD)_y1gx<-#!6iTA+RD9zi`58hm%a!g|^A(PAK)6sQ5OW5?jX?tf=?Xx8Li9BNLz-)TQt%l=1J) zmya5(A5M79t0N-GZgWg+7oev_oTk*!t}<~-VP{N+xJzlXpon7LMZ;`s+|hJ!8+XPi zb2915&aMx#D9&>jG%-`?aec48m2ydpgnWuT3!CeoI3pCwy4Rg1) z5C$IjF6bQpz^<3_3&sbi4*#!U9zWqlOBc~+(Y&Lc09>~)3U-|Qf4b!R(s6R$O zZB%a0@%%vVq)hQNstL4y_q-^{X*n@B0IHt%Dg1AI_EVz93#TyZ-F5XL=^$;I3#S*+ z2;qw7g$kl#Wt*+Hd#1j^ z{P@Pyp~Z*RFx!r{a~P!~4i+6ZFbm3$dl8=RKRp=jwVsFFc;%Erc~_|Du%YC0P7Z0~ za+Xb}rz6l|7mSS^w4Q+>iA=;0=)A!A9)c)T2wKh8t5&EkU29(CYD5=Y zhIHFjXly&_V2G|uYDV)n=`CCsfabty-IDYe&2@QhlB1T5FjEs7sy|IHeSb?dY!vkE zI-EufIx1Q>k{^q_(87{7ZJOv0REQBLQQ@|XK~mmR3oFPyA7t9r0XR>AGJ0Y4M*piM z@Nu?saA2={z`fltwY~byGE5xj<1D*y>2x4M4TAhbakhrChfWM>{y3&SXHuZZ3xh%4J6d zaM1PU0ZVFrlu+&r=n9mg`{jj@B<#mO%cPrn&J#CP*Rqtz-s3UB|XDrWVS>urV!6UYG6u$OrVDGKT@$4!#XqY0+ zM7DA=^dkH|1u2tcynx+td-1ka@7HA&o9{*);!`)4^xh|z8(6iw!QQl*wz&rBui4QQ$DusU87#o@Lq3&g-wj0>jAeZ&D0xSgNp65{ILT-3exsFBt)@V21EuQK zrH?e#kJM${sV#!ao$PA2%FmO0eRKcC8{$o1{!2sSi@ze2YctVTc zht|zID}lC+dz-H{Btx&gP{h~MyR0VJ8rGQWwD*I5x6E7ur2hDdCVHn<4_HJyJHw%h z!{K4KRTIMowO4A9qFz7T6dIDn6?!v|vz#v4u7^)W=lDaH&PIkF`(EOhBtmW3sT zI)gcMab;9R*)cPz9cwduo#N=UPC$0>eAmX=mUFt@mAxDGYB=YT-t5bmvzntef^$wG z!=D~uA!p#3I_r*LSr(=K`iVgdq$rkDdqi{yYUFh(S^9YxYGfn0q$Sm4(|X=A4s86ZC1rZPENG*B?!!VLBtpE4*E7+|o=L~s z860JUi%XbiM*H_|otftkKl9Npy+IAm=u95p=u$*ei`$!w`SC9_ysvxoY9X8Cek(XR z*?Bs+>z>t6U1+ilfgl@Lurgk=vJBjL8ayQXZNtCdGS{VuSto!kwS>WvJMR~SiCSj) z!)Z0`7?mM)7@%RvQ%Cznc8?K=URoz`l(EmdXU{{ka{g=Dev0~(rGL6^V>Dct3o3)gbhyxXgK`>|KY(tR6~N4GNK;zZ9VGs5VRCyvP9N2 zw*l3YPXnUop))UzG6T7IT#z!82;)!*aeV@)cVa+>7$@%ZDcmC95_V77=;m7I(F))4 ziK8DSVq$q!*i*gEXdtY!c+*d;Yd1fF<)eu+*!+!?l)E3idbAnW!{jT;{oTAQhtb5Z zijBv$G3wyg<`V&}wJyj%v~|W?PoNxw4N_+-)&8s`y?75C1KXjwXf?Rj={}v5eU}Us-&j4Pef0-RUk-2l3i3}jwQ?;Epo`7&T%=2 zyk=8PI8is`>BRg~{V;H`xZ5^PifXFOp&$7Q%xn;rd-!1K>PRv7K$TuOuT2CxKTGH_ z(LDozo!gz9Gp%3V8y?680au(_LnoD=!f$?HNiQ+O?2Ee7UY8_<#9DRF$MQ1!VwHm1 z6bR;STfHq7B#YKc%k{9w`xD);%kZQZTV!uQw8A~OMeJq&Gjr45H@M)!S$q>Ae9z#4 zvSuW{o*IiUZk9{}A1e^mIz-y{-@lmjj7Q%3P>K~DF6kl*8v3q4Th(q;-z90lHHb`) zI27{pmCE*J!|!Nik~p=JRp=gY&QQ|@3n$cJ+7*oJN?>w<%rr{|DJ8vQ;~X5*Ij1z! z0po%vI{;`sJaCYrVPJz^1V?XJ}g6- zTM#bQEes>e@+$H23U`E{Ll=zyzHUaOOy>FauG2dXOXEs;XDTCHHnXRHr)jeP*uQ4~ zbYPc}Zw(A(QMItP0~Q;yV|*FKWyth?rui zaA$;RD7@f#dRh$(7tVXgew0|d52i>km}k%(t)1?G2^Z(i>a1NpN@z}@%$i{#j%1|q zK%Ps@J;Z+FRiFbF#s>d*HSdK79EpOi4C>m(W|5TlbWO|xFvgP(__4eA6J{CC)}nj! z=KkVtQsRi+GX10HsWu!98M{$Bb(0bS)%rtV;a~*o`EfVxP4>3|>4;zRuz{A>dGX+W zLf7!yjNM+HofCtErb(0Rj*ZK!1`fuyV$&bF!8RS#eVWN!%nc3NBWPlVH?ZDxvxRU4 zb7uwXRFffQ(zt$h!|Bs+Lli4f-4H*t=q4H3RJZ;9^&bc9PO{f+*oj<(n2R&^)2+G)W9{}4dTpf4qB4!mU5WOze~lJ<+oT+u<@+E%`=CJ|#jA!dE24nqj8q+cnL$;r7C znbmGh8JV{qM~|+vJ*wd-2&9|AJv6Qg4^Mv{Ihq~bv^XbIu@Jxq1DjMdyx_V(=m(d7 zwv=ct#9*ziued+4yI<{JwsuzBB~LNYnQUI6VlO4_x!o#wWJ%|g<|2Inl>xB!UaKuu zbiuu|$RG?$`}y67-`=D~QI54ck{LQGw7jZg%PO>ZI&4amMYYs5<$lo6Izd7QsDvr{$9M&kM=Y;5s$>|fX|#aBm) zAT2VQwcfR1a&AVxY8L7s5KobLya#jsqk1J9cDQ^INoTFU#gbS6B14BY7H`fz^A z^WOBujGeV>FSjiwKV(ugr#0Q;N}y=L01)VFUYw1Tui1JF)a`x# zk*!Rl$KGqM#cKBbL2NP4LM@@AHw5&52d_8NVB6Cx z7l&D?zj)S8JlnD1i^=NnuIe)Pw-=7yUg50GfE@=YQ#xCBiu#y-dkjS7bs4W}9tD`z zrjAXc9x}okdI?_;>ak3=HT=JvOSmxF=iD^g3?3rX$8?1V<&V?4kk5{V<3hNOTw*dq3W+d5iy2yqDgY$OQoC(xE(i}9(!zPUlyaVSl0Dxx7=oshaHF~ z5sz8i^LY*@;e!AUni~PgklZuK;?8bhIx0k_}mcab^hwp~JXh+OrxM^|(XU+IyIK6IZfS{b`|y<3-#f zKlpzMmvANH!2vm733dJn&qC+3d7)!2a%D#Pd*=@Cn2s|3_O;b2xVwI?DzXgBq*G*s zE9qIK^4j|2vw#GHPivVFcO-A&ERX6?2`}coVWDXr5vr{FE$322XVaa;viG_hvyueV zE35FOi=DzqpY$?5A8B)0nbvp01-1u~78&-V#gM7k5-N7chSbnWg_PMd zt=|dM!IJJ1%7`qw01fv$1!k46{6Gt%NEP6^GReFmBc$>{t>DrR!T)}K2^Go{VU*Qt zNLF9gBtL;h)PIDu+cyhedNBQ1&?a^g@9dygJ7>-KDk^aSg`q9?>B_-k;$f29Jcmzw z20E|%nYS`&&%X}C`0Piy-}L^>CV*l3^|5Sh?ziIK5y*)!mK_*FoACLCT3F7NH$@LB ziE`DI06N>m2_tgIxX!?t_R)e+R$E}fSSWoc-i$lCY;DVpP)roA2thCLKw8DiLE--p zoP(oWQK4tD`Zb2mmgY^Rw?LG6DA={08^khF2$U zX9AwOZKju8H89qvu((aEVK3KK@}3CyySC@3vQ0sP?x%O7?NO`fA&z>DUgCmb z`os+}vQ|f{??FAh8Ge?kIDBl*bmXw&&v6)PdZYHoAXsZj=ygj5NinhG7Dv#JEfwvN z{lN_GmHFW@^Tc+cL67GEE{*G9i(Sy7Plu0`T8;_^H5Wgk8Gm$zwOSqIzl(Vt1U-|o zqf+yDPfckh4t~w#dVD-d3^rQnawCjhQdngptAGAGz^{5HUJLa)9SjfMrFVl^Fnbsd zmNudm>?D3u?%v2~{E(fmxy)J?2DX~QsHILTX2<4erIUpA zz?1W^6gTGSyy&!=fkecn#tY?XI7yx(%;~SaW@Q|3@dG_`QTJI}={H4h$Hoh~SR<{> z{F=|p`FIHao|5?g4As6WN4=9}G(7opK;1bKpG@_Tl%v93{K!$|GlC2VYSX3`h&zJ z?G1HgrH$!s^!n$6>idBg|2HFyViH~E%0t;~CpV|`m)VqB29+NMa;tLx1@Og*-{%6P z8@??)hP0Y#_?g>v6{l0MUgpOgN_ZtCeYJImY&##bUfA@V&zOv-i|wf*YhU`K#R>4g zWCOS|$aqSY&Xk;%uI{Q`BVI&%&mRLU*zPk=XSIrbl+L%|iKIA|885A8VbJCd`}GSq zc^;C-g1JzB??mnw`g@J~=M_|#YxbyJu<*{gd2EW1sDdhfD&_Ik1KzWaT@-Y`#NcV* z3)VSWpx`syo>nn*eX=U<*xR40)TL62((|XM!E7aFxm0&{+<8XYlZd>g?YV_!L4m2b zjHbU#m{TgU@i=(rHK%EwGdPO@G<5lMqKs-u?+SM93N6XKskoA1*(_PCJT)}}@=^97-~ zTnVtR9VuxH>C3ej&f`m%CiXo>S#vCB=#}Pvr~LDm3+;4}QIBI$<)IwmpC8mhBccXH zR7W>|JafVs7ddWobXGTA#5K-!45}gn>x;6W6;lm&=nUwpMtJJ}g+qlXKUD@CvI5#R zul|o`_t$0c_jgaF3b~W0-s@_e!f})4Mve~1)ojzYx-QwGrSFLgGvy&602sV5k!&p+tb*5Y#4 zQWr+((8AmBf2u*t!`9o6phmT$8=A?~7jHh)&NwyBf4%bc4bohXDR_;O0MJ6SgfE3~ z4~_)COq!%!%%wkqhS0!EUmqGNm9`J2@9RY@snJ*ummz-qUd=}ArzNle<&N49Po}vn z%C(ZYI(OT~gXI*rWdqJ=Go`hJh^|Hrs*)(Be!4tH=n-=}McdAwDqlS+oY0w!N!Kfn zq~6gA3VHS;tKHy1?x?1_{gHeazpAKIZXL)bf|@y}S5bVJ6shc8djXa5c5PG9myoq2 zK#;j1e=rL|TH+aX!>4U_m>g5bQxmgP!B6NX z7UsehlHCZ{Jxw=E5kDbu!lA%Aq>io3{>=E4UWlqMfwm;T*N67k_u~pbBOd}qDRi(f zWQlf~mSz}+`KcVd*^<(j+wG>nD4lmcrs9m!k;_@Wxv|NRlKHyffNGLFLrSvcb)1|d zh?J?+IY1141;^?5+4cYOg_?7hE$S8p{n(4J5-NR;L80Z*9|-6xmu4EmdaZ^WrQxR zpq&wxlOa79(4GsAZ;~C6)a)7~b$RX;Nqx8<*lakX`gclB-V0PHS?*)NVMMd-2$J@2f;oYta-=48C$43fLE zdt}9Qu&j@L^M@HC|9(mq!uhI53F_CdmtR?1&$O8c!8IH(Mb8VLrdDQA)XJC*0-*I*)x^GX5JTthjqs4`hx9~^$0PiiumKQo-H6itxmitbX zEMnYqIHjyjMI9rf6W;Ul1wv+JS|q zG81}qgjJ`{&EKnS(;p3@F2C-F{r&QM^t1MR2EsKl!I70KLBz{E#vJ4`?H0 zI6dar%rxz+(D&!E_qfxtTOIP0a{|yghVxDtx%1*vZt#O~8?)*-MaBbu5wa|l^uxc` zO9-Zrm#@IBrypNn!e#&JI4@REFsbhPo6VN4cEZ$XaXjYuRqXIx_5Ri4Lpc)S=1QPK zhpcv;fBIN8lvwvzhnBLT2(#5mm{$rK`4ieH4PcJ0wm_hREt9o;V*#yK#)6htev(x} z&xu+b@=RD%2etkFFV~7Uw)L`!IBv7lx$#(m`JWRA0XMJMPRdW1j@^h~J**O-w(Of8 z-aOI2w$|+1&a0eOHSaXSBA5(MYGD&QHyE*4uPLbpofIX7XpUUt=e%Thx#V(DHSzls zBoR1!zwuHRsT`qa+bGP<*cyF%d(>iXz)H(^1n<2eYvEypjH!4phEI#L z^WCY(4&=>(s|mu*vJ=J!KYq`A>Pj@j|3GrivZD98k-oyXyrHn{XJa zbdf!c*$$4!#`D9Qw`Sl@0y{E_qlYOr8H?flvK+JJ+gv(1^B)wCy;^wSUPs7~_Fjm@ zP8QZ!YbpCEoE;M=OV@*{xT=fI-Z<=6G&T8Zf=Fnb`;h?xHvUq#wh)0MKJ`jVQWZ^Q zO}fotQ?M8CEeIE;mLHzD-5@0N((HGqmHin;Z^MbM(Sa{<)M91{+EhJt@1Gs^h)%_m zs^hWB5A3jtpX-k%Kx+NK;Yhr3Zs1N>eJn{iHB=#ZFS(mI%~9OZuQ3~N-#xiX-5$k0@VhRSwIu*t`@%0A^O2c*>;f2IwCG&$^ z1g~y)culD<&Un2O<}0h5en z{RUnFb_GV-Y!8j?=#pQUob-;d(jYwXMpY{!hLLb-{1LgK=*veX zYL$Q^Qy`2yLR{~D>jHR@_bBxZ1y?p=Ul1_BfR^wkM7GQ7va%~m~Dy*+Cti_I=MY>OJ+%OSCY*nr6 z1`bMn5N8Au<2ha_yH|V}fkYF0><8NEKH>kDUwbBmze35r$4%ld+cBB4=djsX(a-HE zHR5fumrL@5R7a-`1Kc4V;7$-d5$v56IIrwyCoN$BEw5uzVE>j+Hp5(#pygyTGD&{a3 z!M%*W#2SWj)S=PW{NywlQ_gkcPR-}9>z9qtt~z_V&lVA@)a0_e5s&nX@LilAog#Sv z>fBW3ZnObPx0&n+5$q5Do>Q~>-`^Bg#Z;&3vt`(uGuG;}!bX z_~*O=Uh9|n4piT9{=GVDirU_fOH>&(KiNz0woFj5yfyyAVzoFTj%OzE<97_KlZ~2~FO&?n%F1`Rsi0TLWnR z!uVu&%jEhc_vxOA4N<=C)_v6-o)WO`eSg2U3v-AB)QhePXCB+}e@wmV>JvCO?lkw6lN+Cw8r0Ycu;b=3B-_J#3of!-^of`)cQ<<7^06SYU-POLBOR04-chRjR)UI7 zd!jX5<6z=*-`yd9I4d|1S_FBsB9An1YunvaXCEB?_7% z;SHWFXnGsLM+k)mKMB-nFrO`xW2B)9o1OY%-1$8El>)ZtFh+{To}0t)pppWEEnVwQ zH&hyzu4u0V?;&HoKU;@=-R~0e%ds#Te48G1oJ)qLb6Pl3j-zFc-ZzHw5<;C@8^nh} z6<#TAh^1e!-vHaq_MS%nvNlEwsi*D)%!Z~{S9dP3j2Ka}#u-`K)eR9CZ1k)s-1+;& z4~)!SbCSjOLfxELnJS`Y${(vJMmK4VHa32cAzCLbAJS(uVP;Io`VSAb-V;ihU(8Q+ zEqx`S^XNBcL_AYeUN-^mkko4geP7hn@n2fKehBL;8`^Sb`p%6QTRx3BxQeFnIse)? zAH(DN<{m~A4qaq5pI+%lFRT6#X07zbmKoQRz`X10r zMvdqtz$I7DO8f)z?~vE^-;!#bO8l0Ft&%H@n6C*Y}BOj9neaSJC~ zl={F3H!`UaUVprM&NUna7I{g~d-0n|JLX|`61BX^R^vgVOZoa(2$L=K(&)Bt;TMmK z;l;Rp{)o8ywVW7urxT~SegST zU+>UkKmJP9*kl`h?nD&B#-Z=%5#}Cp^?y9OHJ??)52snGE8#S|(xb!vYnYoy{YH3W0QkbO8xNd2+&w5EzwIpP5*&LK zvoy+)5<9EP*k3EC9*WBnnuCmwrAocsd%t-B&q}8)U*lk@+>%9{)*Mqg7WReV%j@W9 z?%Wlp^O8BE3(wgxP8+eRhINiL)24hoK!fP7(~9MtRfCfG{3c@Nyl0Pi1%I8r==a#V z#&Yh(uK$7KYQNb~%y9EiarZp2(3i2hj_pwq+ROqO9|PT*KZZ13sjH=;q;l1Ul5)co z)#A{r>nNX?_KT9f=*p$N(Uv~ovWZY~c402{{1!(3T(g2eOB2j@py!;Y=auE+C%#Y1T~rf<{5rdOXK$y0)dA}d~UNX!90!9orhRz1!U zI#bYe9@X+&4prT`%do$>jAT}GT-~<`d&^=r2B-U%7uCsf&1X|5Z;q?$kBXROMVZb2 zqVeB8#5%ib*F0?RIT0oFPb(zY>DT2#W)E-{eMC;N*}`s|=%-DY6^(WvY3wQUxZRM1hd(+M2Dz`| zw==?tf{8^cnd$}nKbpQOtgWUC7fONRQard8D+G6UDemsUOM&7p#ex*qAjREXin|mG zP@uTG`^op8b8d36v!7WrYnH#W*RarxiSrdbY`+V)UoYv_aBa|O`BK(cxaRN=7H>e~ zfTio`zl5tV+VWBRU@^S;j5|t;6r`DIEN3IG)}+uxR>h!HmTc@(FwJkUFsWH-Gj*!y zL<lB}7bAyA_}dr{Ix~Am&k&J|Teo!!#fxW=8r$VpECkp&rkZf8 zPTL97@WkUt1;>RbkBVy$m>4&bK*Qiz?+ zS|WEMJO-#-8J~0#T2IUK5_xToodV}cH`5%F{9bzqLQ_4v=jCfDovrNuY)hq(-IH5hnZ*8>UXq0 z{$qDXfoe%I#(iDu#;^^Rls#po@vqoVr9>;GJ!?uuwI)BzUW@p*X#O26yHJwjA3Tw& zz+vhWeK8GK?*AG=p3G{5!n&|=uVcoC(wD%}WSyT@Jp*? z9x+eBca^4}sx$wF*w;r8E~$H%QL*f4x?FKIx_kr^maq+>Xaf@A0Q2S1FB>j!2UOBt9cl~kKQ61gG zKYIzK@%IH;AH&xF(I`#aS`m?->)?lr^m6o}Jc-tf=}dRIa;T$ zwzIB775PJ-$-yhSrC{ zl6iM;UGma+i(}`1XI#O1jJ3?39w<-4bfeuwLF3^A_r!{xYSGOvc~i2LTDdp@H0-@3 zni{iA|FZUr#U#j{!etGYdEhyUnA^GbGF|6vh5hXJ!RJ8+R&<+-f_CPsP6J{r45B#` z&bT*;t|3wDvqYx9odV%QAm?rAURfZ0hdt<~ujyI^*(urGdZ0L9^M}Zv?rriAvUqzU zg1t^ASBV+Li!TQ*G9~RP6M2Ky;SmE!5ep5`XD+wf7MGj#AGI`#TfKMMLk-40dA4QR z$0^aW()^QEQ#>$m^^G_SH@5wF!ku3UEo8+YACAgv=*`YtRNh_ zskeA@9s7ZoGwXNA>D(xU=4(UoZ^13yc1%Hut(W_eZbHqRn7fzoAJ?yV) zVHfQ0PR9xKjq$$((qZY(8h1L8YhdY$^r$*dU)l8oJCM)9^D^`V=so@=I%;pZUw(TT zayWwko7n#%PRBUDOL&<~h4^Ngu>p^R^G-=`9sZGP zi7vv-%hq!qMxPUrozg_tF8VOrp5DTf%{GJ0Cm7weZ)eknC7?Uc;FN5>t&{MWj18ct zJ~27Y`mYjr5xl*^!mrNlFXOq_t;Ft`l|u&}RM$sDn-CtfZHoh2y>(74a{aO>h zBkb)9$|#?x0Z7LIn>G{D+<*mDJ<~1|E(0BZ!#D8DPnE|pjT3FH54hB=(>k5^dC%H@ zk(;&jr2T0=`b7?Siy!9&0{e{9gglfTiNd{H9aQvy#!aPts6fMk7V+3Y;>ySk)Qz8S z9hiHilEA+fDf5XbksH*@Dw)<;oTP&*W*@K8tkT%}YA#nJ3oiJdE1J?_pPDb?770Q& z1;k*z&ZhePUBeI6IBz-hs`8nES(bU_Xa2D8>=0n8L3RjmP4q)~DkSPV?fHYgxbM=8 z(WgiBxz3&KWkK(@m?-Bp>Sd2+hsnCt*!t|gwquAz}fubeT_7?F{DCork>fy=8 zAKTe9Y2aUJc}8>KsR)U!jZ;byd2PnFj#^E?cA3c86|8dfW^EGGi?*Di;O z?6}sX9Ub^iT+p@@-^)5bV7AxxY^RMhwaNxilzV6W6RFr)e5UYCI|8o%qBOF56si9O z6Ov&Ot@+Fe|I^x_7gS;W#$(=`>-#AVFt207zMz|(<1P1W%=A1D$<1o4@O4@>?HQWz zA)jcYytZ3eN(egV#|^w7ykYJG-6oL_#Sr@-ONx9QnkZ=X!_gN48m|AxP4 zodRXc9)@|HaehIW;I_y$0TOr2vWUCjBc(4@7nD`(iP?v8-Q{PezMDI2clSL|QWV*y zI(66ZFQ-e+@pm2R@-JAr?iP?ewY#s>?APBit;jG)2Qj(4zG||a*8o-tAg?V}R-S|x zk^hE-$wO2&7|t$;uu5f}|E(3OIiqT|`&B{)ol8al=No}2%`@Dd0$B81J1!8S!*;_f z^7b@I^*Dj{gna!xiBH|Ue$`>6UYRQpOITxG;ADzVFzgt@SFoc|+`{*fR~+BZu|*Rq zV{wgl|4cY7CoPfakuOxd&h~5eoLIE_1O&Ng`Mjs~NIE%hS)jWulOyAQU{EN&4jC^y zKj_fqC;5>lQE!NLW2tO5G0n|}!<1OwkdM$OH16ZdReiS{I{`cN-2^i*NQG+x{Rat| z=d`ed(?ZFWwE6T+#@19%e~xH zI@*VjTDtZ!;>4`W2OqZx|FuJ%rwd+)bd-&` zZ*=p9z6d3q_aJlKeVX#_F3fPFpMrh(k!|!xgY@q!XMsl!m22RC*5H+YKa@!rOzZIYA;@R96*0`+Th+7V1LR4m z8gI)R%ssDLaK7>6^Q10YWhDhgK0cB( zkumxCKaT(d3IHr)IEq`)pBEp*wa;~<%cLFmnup7h=zNn zM-kw&YH8vU4_*mX^=K}H{&Uta#^s=X+DEkW53m!C9+WjfmLdg#0AOT|?x>p@Y*S7q15 zD+xwS{n~)IeU&KB5&F(Bf+vsifPjINtvbY{TW5|WYVRRwFD|1aeo}1K@{9(J-@FM| zYBSEZ)A8%F*(MApiNV7RT5xK0Bq)oreV%E2Z~3PmX*R|&v>YYzBa4}uj$MGnY!OZD zYqhuPO~VgGwB(F}jf78-1aQ;mQInCz*UoNQHci?b72K`rI7wp1)`C&JWTJ8cT(1R? z73;Ed)N48tMqI>e#pBqpnYKfMtG@=LOZ#+B+@1u*%LU1!*JgtQPGY(Fx3X`G9}hej zIk0GzbKL8r+v{F*r4teUSO%y&eE;}daPlPGDxS2%avC*DEYSBcicbeKTlx)W$wjNa z-D-hM1X8I_R+;AP-Vyp5a&YLF35~>dP<^}j#Yq!&Q?1kOXYe4CRw(=Eei+cOc|k|g zJ?*tcUsWVs-W++E##hZK1mJhjdNW$;HNlNxy>(W5^WT6Z&6IwWSaay;L|F!H*{P|2 zG^co}tyB+iUm)Y>JTf+^!*@CE&Uat6z>gbxefjCrwW%kh>HVnZ_;ixGacnb zyhh+#3oB;sP=?uf&@en#Q~zrEQB~|J?#sqDA;g7uzB@nok5OL#`1W@kiy1b>{&eSs zb7FA^HP!_+tjMdGO(&YDp}`yc$wk8*!|qJOcun89suOhMl~-HX%YSQPN~h4* zXc)g7;+0k4^mUD#O(CiF?HFOF%ZRi|ZBO{8r`|R2l&{tx>G7?GHWr95fTNbPWNDM1 z#$2{0)ZBWB(%D-I4bXb=At@WG|MQ$aioRYQZ;1J~z>SHVnD9xdtSsb_LOE*+c}hl8 zGEB*G1p!hrVm))^rA<6P^W9Ex##pA;?4U_X_<6Gbu&1=bqC(q|U=d2euW=H2J9`-S z0d}F=;X0*=h?;FQxd~0F9{v&rY+XI~{4)S5fRpF2H;7#_mE(F~1TkXW`l`!$lw%}cU z&hJ#Z-jfPG0d5vZ9n!AlReq~yu^YQokg6pQGpTZ}OMWJznrp7#cpP4Ru9L#mGl6}2 z3dTK)>T1iOc+#7yUjCw4JtHqN8^gLB47Qp3y?=Igvmi&ERX}El!+*6z48-z({lYrV z%*69qZPlPMTFi+UU41)n&6cckRf>Z3n{oN8&ri?th!f}(v@O5as}TTC^P|pA%U*6< z48se%PxL24WZa>Aq@H|7l`fd|&y^Ild);_&w8U9ZOVV9n?Ypcbr&RZYSo7y7Qm0PE zecOS$?Ve)&e@)vciOFRtd_C#yPpeK7=;c$)8wCQ=PcRYSjMe z%C#PXb6HRosmdy7@7Wr*k4Hc8$Sz@BU`Y|xJl{vEc3PkU^HXG})~0XeE*n=mTSCcN z+lV>+V>JKN7Z(wFSOYS%Ck2<-?@72L!AyH}2Um!ov}`C;A;xU$Wm-1C;hIf;pVdIt zTR@NDu#GD*qoBvXlQfV;lnJpIl)o zcq>c+{or(bBD^AZ+%WiYhv##*FfAL6`><L4Xa79QSEa>#8LZ=YZDj6GuNRc_;}m}?zQ^!QXxn!kRqo~N^v?=cyH zaFt&t{rK^4UH`is+bu-r&7Uk;J4;>Vi#e$*SUE}VxT3DA2Ap+6b<)>}@v=DAZTwOw zRq_qDS&L69a<6{_R4A$-l>3T|@e)@iI^GlQibeaGq4qHKQ$qSk+os+3{QmMoh~qwk z?75%q76+LY-JtI8IO#m}Eb}*amy&!EuOOz5Q3CGYXdqpM{@AkqZz&Qn$*c-o*7@qtM{aO~sjy&>umqyoVWfz|8xUg4{U_gSe zEr-x+j`g;$(hEp_%j1jkAmR-hTkQUNMXLPY;AoBZ`*N4hW<%P|D)w%IsfS)dgmg|8 zbF)`p8divssSkhN8{)&}>7trC_EVWS=0`xXqh6dG^hWXU|_YH?n^e*efFsc^qDTvHc#_GnPM zyyE$QIU#4qIPxP8S>D%gc{9Xx`&y8%5l!czA$g;TJ2C-E87$?w&4x*L1N`dZ#D$mO zUt6REtl!oc^tqPktAM=utWeLgTq}m#Gu)H4xwn$p?UwyLS?;@Dt7zP6#lPh4YgjHr zOLA@sq&?jZwJ<016Oqmv8ZqgvFkKLv%=#GZ0#>kZth4RHY3(yB?msQ_TW(RV7g;dH z!h2C`t~{)MWWI%ko6D^3Io&w~j0v9Ynz}wXg~@-scz*t>zxY?gXHqgf^var#e4@Tt z>GesPE54;XsE^S_cYa#MPjG3v+u)^m&P0JWrn#!b!_2odd()!uhL3o4@6HRLm11fbWT|eK zV*+1lYawe&>xBWeT61T&+N9-X^RD@u*A2{EcT)ntfGBiCGm@Gp+nIpI;-b~Fh(Ah3 zV*B~kFUaZETzS?o7yDmjrBxgm>GE~Hm~(fVSNt@glPHw()ZF`_hIG}p6eZQNOM4n< zHj@wjE1b>v2#styR((4Re+3+_Q`aj1oL}_L+Is~zL$xp3U24Go>n#1>MT!(UzoW`u;*y9gHb}G>_(}u?`tI_zMG8Lc{XN9)K5SAV{USU`tUqV@ z_4i>V=i7jZT}qdHcjh~_d%C#3vkDWy!#786ih}hK*`QN> zsh{bGNQ?FYhT3o1H?mbi{hn&V{EiP~Np&w?6(hZ7-Pp`0!aLZ}b2A$I&q^dl#Co3E zQCIhy-@~pJ!^U)N+BFX1n$FRj?@N+A)p0MBk2fARjq*$Nao)7~1dfw24d?>=;x7|= zqkQo7)P7iP_Bq3XvBMh87eQ3Ao)abMAF1UwnV?BReV5f!g32aEX4NGEDd|db{Fgd& zk>1FXd0~EAuR>9~f$APd<_7O-7QsbvX6n|cdBJz|rdnjm<}tvGV^sZRgQUsh&0zO1 z$K&;Ue{0nlL6Ey7X&J7CKb1@Uu|Ns)cVtYQ9|m>y?gMKPeHb^@rPs2Ni}T%$J@7Jn zVF=umhk+hEV|M-wEVp0DUd!yXHfspzrK9;kWj`mllhqgVwPV-}<_tE)w6*C5N#0?W zTM}vs)kUV)iIY^7LfwtNU$h4l8r??2ex98ywG=vxWJl{!IuXRxr7}M;K>*VJQkm<~ z<=#}wVT9TQ`Wx#jf1=z+x5T|JaVpCMp5k zmh{oVY_ywa!sm8ovJxFSj*2}E8wJaIvfeW7!l5x7I5PEZ#W8T9!m{F@d6UY)T8Q1a z?>n`W2>5aj5jJ|*@RIq?nWO1AK%>>-+Ag2UcQ9?Gt%qi4 zWQOPAJFaqx4th4!z;0Mf`f5iha@q%EhrVB!%iimnZ;UI=*+uT3Y5ihJOp-;*v3x-= zYG~O1{hi5IOMzd1m4MFCBOcH7yo2w&Jjg0K!At*TN0`pW0zFGTfPd6Nu;3YI$^TOg zrQq(7>ZS?VM8Uuun24@OTIwY0vHWVJ1mS9Al^U#RiJYWWJ~ryAwotkFkQRqyaA|K4 zGXHE$N(1xA{q=|4+V{cr_Ey|9wsY_%?BOZE9(PNSRyppE*`IX=`VV{^d@A18h<_qi z>ZB_tOWqXn@Vj)`Dv8V#D;*rb!iI#`N!vdSO6P$_J~c)2i1G)7<%;-~JPOQ%ck0Y` zmr?o1mBXo|+T(R!@JLd%UL>SP3FH~QHWLJY*40t(ba|JlZ_0YiovhMPE=S{E{`zHH zbuGKiTM`iPSJ`IkHxRg|GxU#_Gema3RDp%Y)wPYsi5i(Pt+I1oP4JJ&#}`as1hn@c z*!vrdE--VhgWGWU-}hOA^RTJ>&;9+DTS1Mjt2I@{w1aON*nF`0XHsbS3@1F^v~`GZZCq zar$IhqCmZ-h;f`7$ft(hC!a#CQUsuv7S|E8J`iif)?Fc~LT%uXs8N9Skp}-jt=wiV zpy3Ziqd@Mf1%BnNVmU?_Cdle4K7xGF$a$trzpnt#&aT;W)>`qdD_W`T?@c%ENP z+a-cu?lalXrkf?2*B!Rg~@zoB#Pm4-g|Fb_fqL{?!pfz z12`vb4Hc6{1ry^&&6@iK+JtlK_FoLNkL&Bo$A|cEgZeI_I%fo*<^uBnP|%%fy*2d; zmbg_RVCv|9?LiY@m9kYU*EsygW-40f!g9&Ufw=KLq=)B&4$0+Gv~W&#lnwUXvv7+6)hc(qlFFcDyqt?Wxbs7WuJ0?u9?pV^T4NkkvgbQ zoglG=4!&j{GKdsN#pmT~)5&1bI7aGjAw%Lq{?)Ygz-u{u_`yM5f~#vp9a41Fsj*PC zV)E-aUVG-0h-zgZsJ78zM$lm15{e~FiGtDXM*xJuy}21+IlW=fpXiW>X6MNNLXtU(`g$$Vs@Rb zDO4Vc4}O@W8IR&Bp2r(%^t@NQ>G=F?KJ{17#(H<{0h5fgJY)7~>(8@%J7?U{O_$C9 z!$ntg?3{zhjqpN3Q-;$-O|MRRRx+1c_pj+PJdF0%pA}F*Eoj&O!X}EI+S|6qo;v@6 z)t|>C4(FUGh>dIJ@9vim=O~W?pN%`FeJh`qfdLVxsIYN2#4tA}FKuG^R-d_Cj6&9blka45Nsgj*w zK)ion>2DUbkVtcq_i=eN(q2eSdSc65;<^&?hoNbX8OF*uGzpkq3vY540hb)@mIqFE9nh6yE7kFUmf#iBI*>9 z^XbOsCqlYAYWRMH&k&JW*RCUzz;!94D&dfn;F006>ap(Z*Xv+nq{PPzdZGu0cL!wz zL)jI-;-pm1p((a*F;>jhI#0W-&0-J%BGNXYlo}<-C6NQIP&jE7UP_Y33|9;M0xu6JTwm>t-v|tQ1%>s$ff7iF&F z@}m4l+-y)SMu|9g4i2X9BtsN3%JchUivcxGq(37Vs{kpClr!4W{La->W&1WN9z`); zN)LSplS%WJ{KTfNv4%y^5B6>d)~Kl}E=ADszZDaCW}k>w13{ru>96Vh-0;z^pnFtQ z*aP1cw;`mvnzcWqB$WSPYuv)3b&BE)BPN^?ErMbfMZ44Z#!7&)bDX$ZRK%6bf40J| z3ABZcJStcOz&J!o;V3ZAl@$7*vxOM zoz6PSX4k@aT@|EsHSRm^zSU&yqCpC{<*)Gbp5rNkqJvKYEk>JR41j(REb@UitMHO& zOHZ7&LjQG8$&kWiK;xCTvobvn15Go2p z@^AKuH*~#{!8ja}SsyIHUGA%5f+HDJ zy51xEh|&WvMp;QEoCck1x&)6`8$9O@8d3ztd3k_rSeJ3-%@gB=IScCR3{^@ZW3Jdy zSa@-H?nUIqmOhEIYP^6SU%hUg|ieVy{<`CkS6C4%(;i+>OuGS1v+~bW7`Y;=0 zvs-ds8#YL;bXf27H7w86;L*Dg-n{Qr!*t?PMmZp~1O3O{2J+Hg%H(hwJBSI#*GeUk)^+wFuih19EiuH5OV^xe>@^{nqjU7Vn zZ($Z7{1LE!FcsCuw;5t@?{u+{0-(pA;^xO@`HXW=$q*Nl*CJYDf4vat~t+^?h zZy;>m949d@;{o?sL74b-hXiz0Xq#CaC_|E)yK0a~nBLoVrn{`i77 z$4LwQZi|o$+?~(q78ENYLZ$q7=R?H-MFJO@;T_06SAq@*KkcsWrPXMF;)GEUbQOZxWymQjTke zFWXNWN@D+Y?FBKfHQkCNyMwccZ{1Iu;cHD}{6-BFry}285$F$$i$;vWkkRcfN(N|@ zFv8aOFgW$a!{I+A_9b1lS^XCkHvzr3RLV5wI$k1AasZggCNaL=b+-FoM%Y0%f zLOp|>+NJYOZ6b~#h5x#_5q>ZUHn@q7?+pN8-6{JE15uC{jzm*!ED&b<`o!m72k|~% z$PozyX^pMGfnxZ1UU%Tq&E-5b^~FAY!3Z2olhy54c7g4CC|G{Uq)69VHwNm0^FBQr z-ohfreamgSBY+DXUXm6;tk4IJs|28_LQ=B53&^^1Lx`>E^A$zJCnUlMfN+GTKYUR= zcD=RwaDN}DSj{8m5L4^qo6~#5@PkO>up|Z!LlgBChpp}%mqX4H0l+e;_$vMKJmyQ; z1=SIaNfmD+RHH+3GO<2D^cr28mjL$qC8%PdwwXhRcX|5WlpUCV5s4E+&$Y%{CyFk1 z^8@LxFm4J^-j2`a$$y1PLv^G8SZ*;LsJ!Bbx+jz!2TmXNNL7mcY<1^xy^QntC|M92 zny0oNPVjM-5}fYucUOEzj`n21ev*_CA2QTu38ak3OJ5<&+he6P$u zaKRQ}wjL>CAAN2qUi*7f{7~{YWjJmA!!{`13sL9c{vUMt!;C5IfI7)M`@H};!C81X z%#Xk8RBB`)N&@u^9Tl*GmL(049*tq;Az(Hy58h12i)En?JvM+e2UI^Byl2$wNr zpjYDb52nqig09H`x(8eTo0=*yrN*G4^wze&-Cq9RACI->K|@Jfvt$5^s{D1F-j;4? z4a8u$6Hp#^AgqIaeFl82 zKg2@6Gq!&%=ybnT<-%USHT@yt|Bh*0OQqJ_MbWeC+G;gL*70pxOOc~GdRKeM+KjA3okw8kIa1{`L(AS&Na zJJWd8El1W6;-t7ToD&rn4uj0Z5rY{a>9F4w*o>YX{+|8#t?x{e_1_cNRWWU_+21le zd@}Q194GwtnS>?oV*J#DNztGF3LGP+)e!))o*n;ToZr_pUV{!KSs_V%d<=iX9HY6& zWG)G{>qtYz0W{oO?LZ0NNWFb17FQTBr-TW0Lz}B#ig9zbZ$pKL53E;!Jg8hPy)1W6 z3pSsi1SA=<1+U6Ea%v@(Aea+F%&P*OchWBd*07s9-dJbzj?J-LvJUu)Iy7r9y8O)% zh;OVj?$g*awpry=D`UeGf(CH~5m#dCoLbaT?@caUF3M}kI0{Pu@<-+l7Yoa+ ze+jra<~2;((MSD~|9R%>BcZVr2fg2tToGn*nNKZbgvx}tBY1|y=hg)Demr8RGBPDA!leB2gJ6Nm}JJXen#?I z8>??1y6|g#!33r0*Yy0H=Qdsw5R^9YYY4-t?Qfkez9~W?5nPk99G46m6A$K@wUBvD z>q2`;tv^e$6x@9`;;Qq!zO$J|;VV1AafQcg6n|_(Cv;*pg zn9WAvE!*>l)YRZ3XDE#+Ja!Q`C2mR*m7_#K_1ZqC4Kb_GSL(gp-RmL((hF^%#ujw@ zmk|@qmdrz*~047TpQcOQU(3IU4rxr`|tVoqR7TdT*h zS`vQ*6v;kck#NRId;HWlx=%B%e3`rh8ywH;cnCpOeVNG>;Tk*x50JpVWEL7k3QAA=WGou( zC}ao@lqMaLYv$6}!yBo)TiM{(ZMAMZJ&PpPr&{AET5AT{HZS?S`MEnd?%eE&f&@b;-;{ zR`*L?+zb(Q!C~DQgYGD#iS@HOqSfSs8vh67+G$@wIm53QLPfuVNvQvPYT)6*Mezq{ zA#&3ST90Jzgsx-SU6UFyU!m9kX&U*NlZmNUrwDpJ(NAG_t{H&$HFCaIvlWIhD{Tzd zi3OBZZZ#qw`Fz$_nj@!K*DovLa02}w%_(8%qoIFg?Glu3VPku+`1=v#i69wYyY1T#*f>$wW z<81=k)13;_XK1lU#DJ}`TpzqDwkj>vT`X;?6{eD6H0^`REuk%B+DiZ|>)9n1LVQTr z(?M)pHvP9!yRN@lBLW&Sjjq6Wcwyp7XBkXm>7$HZIF~}LZEr_f2nE>ip*%KG8Jp7?B=zij%H{4kFo{g%jd}F z2Y4pXVhd;tSM$atVK-Ma_Y|uYRbZS3ZmNJEM1~PNznv*RDbAoJ4qP2V8nQzR8idiC zyInGW^0(JB_cPpL-X|LRkD{Z(^kS}c92TE6dlhP%%J14t0^+U&v4 zX&5)0ljWQ)1u4~9qh-VInopi8Ph`V3+vCu@eN%p+KE52je$)|n+~pcJ5?T!Y>pFG7 z+KA}s@L_UIf91~L_9U6B)Dp#y94w_#O?;oh^NGYTa}0N~kY}6}kHEThy;%e%%JSQk z|C#K8MI#+gmMVgl;EZ|eLb4~A)ApNgvQpU8io<}t6_T3}4X$}lw1W#+uYNxt&{&!t za!N=FIc569$+nw1E?WAt6;(mTOMonVp*Hw5Q4Z=Mmai_}S)E`r^q(vQfAPL@QKQh) zK-{U;Ui*fB?ZuAFT|S`z)0q`sk|uJD^(p^HJo&Bze&kA% z#bJBB%d_oJqVI`QKP@+T6=$_RE0*AgR(F2WlW641AUd6C7{WD)KZg+fa^is-I?^J& zt|F|kQQG{i*d=oy$~{gsbA4c*o88xOk2gD>^-pz+Xja4GE;v3)QgbSe$g5KfLv%>) zW)o}9Z+0FHK#WW#E4J0@#Q9kcB|Fwd&G&bKG1@WId8s+|yX4hPzOnE}6ehjlI_FQ& zlrH~0BGGHf$W|US_BXC_

w%FO}O9Z)R%pRdEeKfM^k|I6zi zu37@PW6j@Q&l>-x^RHoc&zKbn;7_XrFm&t6|K;U3|GH@zpk;tn!fQc$&p~@o2NW4# zQv!6SXJZNQ*Vq4S31EAUpRRAAka!$-C_E8w77@Sl--~|pPH&9(^=rKr^U3ii!jfiYy8XCQTM_e{(tfjh zcZ~T~+TZ2r77dRn8lH$Z?+W_O-&P;X>rT6_I|6aG3?|t>!~ebT|+4FLK@; zos$f+Ap(byL6V6;0~5NOs9Po{Q{>vAPkU3GFN2~gnXsd?VydyYh>_>ZP-8Za*4f1b zi|U{Qt9}vwot-VV35=5GeW-DsozGKeI-i|~_s%H-J5Q?25CJxk>{I9Nj3`U0NGzb_EVUAioOhNYz#1tzGMyJHR1A6IfFL zI@7rnf5f_=H(tQ}E(uIh?fSSoHWungNsGwdq0allF#a#tpj4lFM&fW5R!OdnnQsu)7PZBor;2M+kHxudEk6NkrI*nGFnUVG2EwM zV2wr}IkrntdLPhbpK2&fz`raah~0TSLg!lV+JGGc%T|@l=PJ~q+w4ZGOKg#{T+{mc?*w{R8Vju`7Af4 zga#DFN7yTL$sEy06KJhR-Vx5Ak^_<9*CW zEb|8T%8fLE&cqRDU=i2qg@jIS)b`e`ppj%CtW2A!72s$>IuvkB=0rDIl`cbPV}WZi zKSk_CNy(pRP$al&WLWXqj)``NV1+ovUa?9vTI(meFKe)`fj*|KiBH^RzF&yDiEf@^ zzWuib&XTF0=e^Zg-nQgM5guiea);dbG+cyM!z}A|CQ7Y*61b1|bGHL(@WzDgI_ybc zZ_l!e+wf%~N$Vn?^v;LCd05768hcKBpOQoQ47qMiH2U-c4n;}!U)ADl5#X?$LvFyF zqzW#BguYNn^8aWzOK7F}xqW3e#7#Q8Sp(O)wTnn}}uFbfSb#tTKIRQ6gD$(s?m3)iCp8AR_io z5=%9VBe-!9OAL7f=D>ENd!L1%UE8X@XU|&Edo&+MY5{w60b4&CdGwD;CYeuX*bY{8 z=Si*EnMZJlJD!Z8BqroU^-#{6*YYHg`xIN*8_$!#{BRb}RC!M5>lHScU_RofLIa(B zE}EZfqt%zT2_2tL;Ufunf#>bIJJHbH*~)`ZIwN8cXejde6h6LDvaa503mPaXIM`b= zht8=a6KO97=1@2kGVu8n-r{ksk&;A0$(kEGTGNDj25N^0~IkJduc62mZt}5Z|$QrpGeu^{R9UB zA0rx=Knf!05FrECibg^=sg=xth*qo`q>&wqW)>%`IIbz#86=qqdoh95I_Ge$V!n1~ znNZ1e3OWm2cBU0kZe*QNtG-=>PXoK4X|=3JeU@d`UoqS z!^nC+w?@#}i4q*WpXu7xI@PDW%*#+N1bHncAOgD+9JJmUHA`WCI~XA!0tQL<%8^2|LgL9K;MdMrK0} zcd06wK0;?YbKq4i5(_AK$o-;#gP7izR~c47hY8qAD+bca7BbXO#Dv3%m_VxE>%6br zP`4Mo4`**vV_ny@Jyfj}KavxzTkLHeM z?-!^i5v}UegVtvGkp%0FyjCw@(IgS`vohEGJcqe9fzMn}nldsZ#0!(`wUEoghLI6b zLnYHkxElizL4$dTGFl}A{w=vN4Gpk@4EZS%ESf}y9R?;RO>U*VQiaYWM#P*~=(yKN z5$4nm)%+vVDTE{i=XDN?B_=3{UsLJgHn1HGF_11ZHpgL#QETA}Eq+FSGU$u<+& zxxG)>V!K!+kzh{kP==c1P-9`AIyTn@X;!vMl|;kVWStYST4gxj8#wy_+pgUToI^6U z0o#CW?{P*B9Lswgl6ifkWG)2tBH}5ad4wh_EJwoDb)9F==DDUEWr>o9T&;5b<%m?C zUGuR5-VyK_1Wq1q=Mt}T>g~Ijb}sQY8tq)-KqGgQkz~wB?OftDcDGNM+quNsXtX-E zq0#Etkpst4$F_id+yTp>Mw}Rf`1r^$$$UD423XadC$(m0PJBZ204TIV#Dtuv9?E(1 zS`O**#xoDvqO*sg<>{lg=6RbQcgC(i%t!oGXmIKpN0!y1XTc?s9J0qLb9iCL@n4CC z?#@;obQICuV5dlXN@)%5F0fz#PT9q!lFEmh+I3l|1JgEtI5HZmJNr!?PM#JAO#V0h>(G6MI)h`)JkSRL@QPe(g-Wgj?PJy zIw6B36JakV&|2plu2pR35^vqo65SLD5;%)7xse4G{)J{zCCiUGQgG-bZonLe$`~YY zNPVSMjzi<+@52cI<|(J&Us&zU!B)_E^Uuwx+JrxlT*KqD=Z8)<^zWR3+5 zEaFKkjfI_som|}0*1>|D zmxGO*ot+i(#?`dpbEAu+N9nK$LtE zsf>FiNxWSY%uk^^)X)s#`CXhja-Yo(T+`OrSTBCVBGxU+afFPp4gRD`0hPi_N=c%| z5^h$5N+<0MzLxDw1brSEx6{xnD+LFhRJn?3>yn{}90gg7p^TLXjTB>)Kz{>0a3&;p{V zyy^S03uN8bPtE)ybCbrPq5<^x*RL}yqzpk64!Wm#L3&HuppLMqz==;16pXT{i;ZM_LKLXLBw;a_(fR!YbVDfTDL;Q z_g$iUCEc_Tj5s!UDk~tL{R!7b9`?KkkYOu0>*i0m-CQunL(=49v^5F%Kzo-TH8E}b zJ>aFAZ()Dfb5Usm%dhfG$|$XGW|FFD0%mzfr+wTLJj#9A)mR+k9wJ4W!mAty9Q6bW z`;2#RIp`S~q?u}Z?2U3Sdx`-K@r0UXc0wFh%DCRwbemh9>R z0*iQ3Tu4?ogRU0|l<^i@4zJL4NwI1(q&}$bJqlJfD{t=j`D9_ z!35C+oX&G4aWE6Jw&VGBs}z0$sL%Ld`F=@TSNI}I?3;-X;#9H}o%0BSneyB(xfajm z1zsar3X^?0swx(#31&Y7vf<*hjP|G)P~NBXj5pz!dRJw?(_J*RN`m$}S_Vhw!na3ueuZ}>fr4{Ob{AYJ(F!Xg zQx;f$kHBy_T=62dKQ}n5Ga&US4#_`*r0q;@9&v>3mfnVQctY6EF^B2ZPa-CDQU_j<*&#xmID^w?9J{=a2TO>6CTyhG*K4etN@fll_M( zbKMuR=(K&ZMmX9>VV5vO8X+9(D#0$Z=>XTMt=I}5;_jfZv_j~$EI8u1gl@a?T=YVF zwI$4CSV#@KxL?AwB1H3D@gaLp*Q_`RiHyl5S6W&QizmFLih5BD`D*5p3o%t=&z8_q z&x|Pf`_lNr_|7H#p|SbNX1Lw=fKDD}j)&*r43+AV2h!}$-}nA6(>@hnR0;h|T@LtG zcn8{~Xg6{mjBxKBoG|)3!i9Onun#RuO|cJ`C@T=hEG@!N9LgH9+GRdH51~bn5q~|~ zqSY{dXr~k*m@wugcT4tqwX%}#{bdgvYmv-hr5H>VpAfG`4xjlDnj;&{Xg`Xy3KM3Z z0y|`E_M21*kZ?jfMwGYc5J1qchhzc$iDCvx!?AQBQ7Pe))gGQ7d+rF?=&#ez7;U_m zj;?T*Z>?$K7om;bx1E@lgam~J;{ZAHlgb2jbG#&!m{vW|lW;i-!;$Q|>@B%t@#qoX zc4COLioAo%*U32F#%~eT%>9aQHOROPgro-FQf2JBNu`At_`x=lAu|4GLb!WB$|w;? z3;4l0*)T~`M?!Re;lIl79~0t9q+`hKS7s0!^p(yIkIXajcluEyXgBR`9sd(c4Xe|3 zbGemAYc!hed>d+lOU9JjKX!x=_0bwPD(U0o+-)ZZ=T{-Tc1Q^`g0}Pja(NQ! z-ba4Sb8Gr{gWXr^4Els2xoHU1-R0IRL0BzIbN=2VV(k|JOewjs2B6Seo_ z>}NWKZ79<&j~4pxXgo`T_8J`vL_dg*Sz)YHWO9|6>Ea0G0lgXlST0Mn-3e z`w|gF3Hz{&J0jR#C=PuA$1~TmzS68@RveS9i~f83Uk$y^XYWkcTzM4l_dgu+>bBeG zo4R8*49r|_Q&Bd^?+f9=BI4?FDVEPA#dnCB1kLz4;2uh5HFzhc(GY6Bryf0Cq0W&)!yS}s2%c_KuLe=^` z`*RAc<1w-mI(I5TM;Ar1&)nwrECfwlLs8K~&>O%mcQS7a^s9$2&S-%_E37_pI$K<( zA!83COUDVj3EX+@ii%Q&T0E5t#sZ}Ha8|t$q-dgrclnR8@5&s(;y;9Znu)+-BxjXT z0pBsX46oEWL>We7RPj-)dl}T{mtch-GuegJY+jy)pQ)uIw!1!z(SGJxXKkSm&J@r# z1ExV|rXsY3_S`e3rq3$u&@(GbPWc#2crQ5SDqa?GP&iS(^XJchz>WoI&(T#+Wi2E) zF7wShpzfv(!MFbMuzWLJC|nK77!gxlRXSUAB<|tUv4>hp@IcKn4M@?FBZl5LB%{A^ zM)cyV`)Gdtbs= zh3FqXPu;I3L4}aQV{nP_SRTKMoGKA3cNvQlOX5jaN3I$yMaRIrhP2Ak>E*QJ)NEsn zr1>c*9?KguaMu$={ME|Qu=zG>0u3X&17DuFB6Wsj7_yUUQO(1sF^W8I7a_m!;n!$G z2yGxo76)4lR&7TdRxNKL#3nzw0hGJbdc6o63}LH`0*hAo<%~q!0XKw2g2y z+X-vwJ~9A3HCn%S@PS~TIE}!TS>{I~+FxQ@wK+EPD%&wMU_6Q<=kcx_PzdmSqM6N) z49uUq@KNb0FUE&Tf8I2${ZDA)~SFeJKF=`qC96&zgq6& zjpy9&s-{#ck-!A5>m$bU92Fz7=`sO~ub3p98&zMbPCx6Zmek4cD?1xQ7H7y3%&%-r z=9b?dw8s}1_|x_3Y4@I-K5@Z7cb-gGt9e-W2}M}3CC&PX^#<{$a$&{5Oc2XSkSBH+<0UNEXx%51uj6|1|mY1^W9XVptb!3>8rlTef+!?7uF; ze{LFL5tL8T-Z(Al0c|RNV7RQ=&3W<&XjcNO%sY}wnv5hBzmJiM{b>mjB%z2&k%1SQ z$8@KdMt)*BV&q~;jTYs3nu%ae*l8+LAY~pcN-t29mtBCzxQhLG8K)1jOCHhSM9i5a z=S3Kh6D?S3{Mx|5)@5psKf%4ld*J~O&+CQ?6PPy7Hq&7Y0p<%3-~a)fixA)o0Z=n+ z$y_pS>mL*Mj$`0SqHjLqd(d!wG!ULGPAi%HF^`QZKt_oAkE>q#pUdcD|Ai#umh#M_ zSLAc$?yXaH;^O$QNACs_@bbw9;`EpZ7?Gg>FZ~b{$0sQ%f=$9b$2MTmjVJ7{Mr1$y zUF?LeZnA?@H6BMU?sxn2C9r|v+taZI)c);+bBtT@U>YTyDj7e{m%s^CC`aL_{+TZ# z#8k6!JZy;#hcg}Y(5@cIVxo|iFWHKKQ0_@3 z1`C`*8z}J_&fPiMJoidJ6sDP+Oh}!e6u|1sun?LEAhjnN0ETAen*T{Q{+qOCGK8Is z{AqQTova*6U0@_J=#&!I-<6yF!iIB-+hs8v@L$BsUf--^f;!KNjj2U{FSQTVf^Lb~ z?Pn$bN4Op-mZzasM-qr#=87|oS^u2nkUREI?>=XpZdaF>{8LB6@vj`ZIqEYvsWc$BRF4U;+%A@jr=WE-Ui$Y^pX;im~|!*RvxBey)EWr^3D z{#A>f_wK+kLp9G7uMf(u#<0h4!7;lvWRB1o_Nl zuPMBQYDpqRtvboPKU$FVGr9b1WFH1ZLsSw{L0gLBNH(Q-Sf5dPR+e*A-=+o#SN{ij8c+kEBLf!`Psxk&afkg3Y+o%oWbyRHGui% zZxeZa`jr-EE4G>jEJuO5hFB|j;0lB}``g3}l3~v!P;`U{Nppt%bc7VzSh4jr;GE%4 zeWdAx=$7RS;&ecCAkFSUnvI7PuR*qOHon0rzSpq62xL`9WG-8Fo+97eZ(fPzfd5k? z^fpW}!(^DR604rftQM9?nmxnh6-u3Yi7m1Q_9QBcVK5R?ky=NzQv-=7-k4$VsiZ=_ z+4M#YX#t%{GgPxB?jYgP@ZNZi6vo=Aus2anTYHPJ-9L2UAnFw?Y2WpKwnyKb=4mi9JfQu1@dN= z_m?FNB7j9FQV~KVYWX-9D?0Ui%l#cpF>z9X+JCLOxhc&P+OQ#^zb0*2TElZwrkRIq zE`R6;H9YP5+m`Ro_fg-90(XAf#}V#5JRVH0Q#j`SLth_}KeUOMhcv#DM*T^XIENE3 zg-ftXr18amy?Idd*lRZ$+Ws@~DHnEk@c)3uFEK=+?tri`KtTa)HWY zGd$>Jdq7dWml@hrd2WiyOcRntl4~$O6v~OBMHkd5C{%j;pakWFX2y4)3djDqGVus} zoKxOO_&|aMcHL+{)PLm|WGPjw^`CL3N52^()nDHHQ!31gp6J`1=n>VILO!mw7?vRM z>OkvXT=&~C#Y<5)%mXz8+Z>t-JfXkInEgIDX1U_iox5jV2qm{4EEqrvZCu$~dhYW3 zq|mrbL2O0LZKR1}$jUd1^UsBy@u4qOonqb$?}^dhUE2`}2LaO0WOoxNr2lAyo`#6w zV0oNYOwsfcH*^wJzk!EC7gG&?0R|Jzrq5U%rv5L_YUYhVrQIxL5{2<-Mh19$n1`Q5 zueP!;Mq4JzR;u=gx%*v784U6ZBUxJj1uFzQs8|6tS?#3-pS z$<40#Qop=Z#8*9VgUET^N@u08qG2$R3%4jEF-ECuEg6L>?gqje_$BBfHdB6~CNK*5m^pOk{3~ zhL7wB@hAz8RicCg2GdP6)x)7lxH#>QEhUK!hNzOTW;qm8sZTrx1w8G}7ckD@MnAdq zZ%jQAah7@k%r zxs~!6IyC=XC6n`trE(%Bkm|!*He!VG7ey+Jin&pSWvGyRA5WpwFN$C|F+DH(XbPd^np5|k3BHwEMbLYkVF zxC-(WX~!{8iTV(Et`SyTNFKioBg@aU)FN;>@WDG~Yazdq2~-YK^4z8zEUFW{$vG{ln*{ppI{8R?_uL9Qg*J=H*cs>K~&^n=Hva!JugAQ5^i=|#D?SxX_< z^K?`0eTIgx-1yLR;li+dGEO#W`az&XIY^0U<;dAKiB}$cFipPiD|}Y+zI#M=2fj25 z2A#Sfyi_#5n%;JiC2ua)kb>c{x`L?; zat+0SBQ$C`Xk&tUi|zFdOb&*?uez=6?o-{FQgM0#DMhuN4G{J9ApBdP^()R!WDt^UUTAC<}?Kr`1db%_e8ZQsyY*tGI~`m{`qtfxPvG z$dkC)Ra<`bmob~5F7741-yTsRE9>jxfR1<_w`~Rd z0Lq3i%}^&VDo#_=8L@-Tk533eHFwB<0n?L{O$AbTr@#E$x!ryjx2S3SsRU zI0ekc3hi%us`x7{Iw3@1orYPjN*RMJbayG4^cAoH0K6CtEqPFIW zzL)7*z9+FiiIwoDy^@z4HQ^lfUbdr-lBvW_{s^X_G7=}mufO-c&G3hRj0J1= zd}-bc*Q~F$<=$o%(jSJCSz=r*CBa@i7*XZ)+2&YIb0ZS{j7X@+eQ%rrq<|Egy}>Pz zsQ!!# zarcIM(Yg{M2+%>7`3Z$UR49F;s^U8IQ5J`cJM4nuC&z<4@57@L80E$#8}--t)B-u3 z&2e+Z)jX<|xaHZi<=qT3?C2?6uCA}GV>nucT*a-{kos+w-Y@LDiZ7pQn^zI*l9-1k zE&5)xALfAFAwTN6AG3(IO8V-H1`3*QtT{^_<^4f*rzP4;5=}IqvYPr^J8fgf>`-~%*nbO`Nreq+T(lteb|7q=dwQm~df-IBfvj&g*82*q zzhf=$Ml~ueb^RfSQd`tpda)OpD-ZKAtee=uFWhsk({7U@C>*~!?P=BT$YVUH-` zo3gtZGK};$`G$-~6y>TuuA0!5b(XDQ+U5evx8!6UT#j#-rQ1Lqtwu7;wK>l7`Qv!3 z?aHtcG{{Uyg%v;99wf~e_U4j)})@8>6zNQ|*OMZQ3DWlod(YwCpd> zfFt$vbP}Zw&AWE^sO=rm%m*@E-5>kl-DpLx?Zt(OcdGIV=QU?$k96WOHIO{nO6qHf zGG*Cc>XMLg#Ms*zo#~ls)SJI`uk#@(js1~>*xH%}4S%Z9dJvj^BBG+Gb;lMH^rkIu zc`hd_uGb|bBUBG=YZrs1zMek8`-jpl8yCBqsS(zqa;=0l(lHUe-Qc|XkY-|ep`tHl zq89sssANCa*;Ls=uizr#yMUf`1 z!?NT5c@Av$IM7bMqdBm3w*T(5A+0I8x^}hWVXISR{<(pY4mma?qCRiYSV(MO0{_ua z>xq5Y!?ltd*rJMq=8ay_xsX5N3Ev*xLpQ2U!;99iOD~3CgjV<}^S?Sui2&#$z(k^N7@ zxNwDT;<thG4QYD?RbLh5v_rxXabK}BVQQs9dmNN~xcMln;v3vWHr!t*$LQbF zuMBo|$eXyUMSG!2(+>$JAl%pO!*0lIB*h{0hja>4wMZ{^ajZr6Scf|H&!IWEb@q=@ z8Wr~LsFo$Y5maLeDpl|DzKtzR$=XQ0a40*F!n?nte^iaOn5Sjavh=x7s&IeVUb)3t zW&AK!R{AGmk8XBUlmR zW4s+SnT48a^)YbJIGq@5edpIgx2&RSK4buT8Jxs07-xw!<~(k#Rt^M0{f0y2b58T;7Ol|TZ%pF23VzHp5ZgV>>m zRkD+eF3cZ~&+`9^iS_l7OX(Sf*qf?4`;6=CQ$vVSVkbeSv0R2*90g+^@hX`pY-4{^ z*sqPXW{0GC)Wl_OQdw(JVVf!-!7xa$y2lcN%KkjbTITg9%EdI+1qo{y{L^0hE5dq z%+)<5IRB*Ei_eYHKeKdT;Ii=7R7FoRhew>KM5>SM;|>jd5~QTxaYHfP_MVYeA2||V zQXV2yvVkr9o{ABRQE3lf$(cFmG4^}EXL96@!?gQ3+%NBxmmyv4AiYaQ4jBe zXQf~Q={L$_R@QMEmg5Zn1vk2XjpbUL_#}(6c6p&*-UZ+IMURc~1~UGQu8hgJzvKI< zq;gpt2&OgQ?}6}g>RhM2wGEUYuRaZCrY5};#PbSsJ5ygxJ(;cKy7?gwPpg#$flvYc zGTZ~dGR*TOg1^gpZEWj~2Y9gDT~h#fcKdt`9p=u*t0~m8Tb6Fvi+@(WNb9UMQ@LlFq-4oo@#J{J4pw0XQJt zilKVN!9Y}>d^L}0fsMi$W+2aCz(*cTd3N^Q;ic=b_=-}=2lpzIS#JA z%p-zHNvctq2b$T}G&0xP@1=$@S^@3#m_dau=$8u`hHIoQ9W+H>jUO|Fh1&+DjXf=a zW1s)au&*+9cz^BMq(yz1T`#JVxaYg=1KTj0 ze!e9>SaGuanqIm~Nc8aJjXVOH^o1Rof7Bh)AG^s~Al?}r=g8bQyxk}qEJ2Z*FB!b9 z8Jb|O#Y=wsJNMKrWO+f=YPI*GxI=8ST8*X|DX3RFjQS)!we_f!7LWEkc-nZncFD!D zw_&j&DDUj^5p_X^aN}#Mss9){)!7saQi5PQRnw%ig>~G%u`ph0B8+CI?6a>N`Xi0& zy#Ity_wo*I6r8G#tP)vCt#R~(`gMRA^*kTTy*FyIFNj?BWzxt6B?vf{yI!S0;XVZ@$p3 zuDsz+JeFiBtZYazZj;_{N_f$>-+TWq9W+Jg8^wKfvM?dokm9XNd5@(w7u}gxqN~~% z{v0^02jXo=*@V#Yk~866dF>$+9qIE{>7Z%C$3Sty+-NsxHM~(82^*o+WzF{L!25jKx%aRt!F8fY{CKjTzliDo%Y_lvH&F8LI{B7k!PQqGrwfEkl{-dsX z#a(iG#?Q5#eTki>6Z}Hr#_$z?47Fb76CW0_-&&GYp6)d#+kys)Xn_)%k-Qk_3as6c z#ULcqTkj;atf=R1Ex)i?rnyZ?CKv2!j#P}7CeI)J%OCs;VD6aFmO2DXHV?k7%PkoY zZr=K``}rHiZ=~Rr5k=4L&fO97stU6aHOZcP zAN4CAMpVnyAJ298p|s9=#HhmiP_5a}xB9BkK*QIW#b6^#CS;>1j)80MpC zb4}KO$ytt!n(kHiM%f86dhyAfsB=++G9XHP%CKSKUf(NoP4!p4mS(0)(G>|%Tl802 ze-JaQa7w)RD_^b`DIp2{{eo?7hM&n~sIRFLr)&N9bJJP|psCSI8t?|vkyBWwC(LbthM)0h}Tlb`Ak?;}K-*dp0`9^B9R9m;ok*{8`XHih&f z=R4IGoc4;px?H9vNWx>Je)+;T0{$RCai_^f_ELGmF@Si=m@@t^ni*FX)x!W0G*dO*K({M1NZHjkcaVy^*AABg1hIVl*K% zuH0VIh312|;Ep%{&ZdNqhNiXE)aD7@V_Jik2Q8^*gCTYr!?lHDhFCtC8~d4WG3xWz?KS}56bR=8D3Ns249 zgxf0G?3@Y6-lyKa9HaT02duNI-)+ndETg<83VV==9VS!T0~9;#P0I5PFD`Vm9-bE_ z$d>RPocuup`X|p4{w1QHt2IwnBq!mScQ+t6fmy2(v}YxGNOpMDRi_b7Em2NwQBJK< z{h`HPTHR|#AV#FvX$1syR*+7vhA>b&XL8IZxr!BIu*{LL!1?MXZ(dOZ=j6HtN~y38hzI*+1H3 zuxtL&=htzG{v_np$+5}#wv(y_=Unnyt{OXJ6#B~zDf{uA1-Q6Xyv)hI`9iy3?>3`G zK#^u6ApGKO>`_{0sO}XIa+k@zZAnm~5Rd}!hjS#z#eH^6nnbd#x$yD_eS#eowtD%L z<(QjqS3gA@XXMEG%wT*+ZBGb!|H81{GWJgez1rx*LweDMq<_7YV=3gNNN3_rrpD2G zOwx`hr7g#FOy&67zR4%}9$VCP7f*|Dt*npZy3yB&jAG@l*VQ{JWv^i0HVQvOXI;)Y2pUJIyC$N1JwcV!jI?(1Jd%GV4kj=k(_J- zZy0;%G|7!Q6XfBJrXQcjdF2nhfi6!V+{_<>B`)?q`4O$!DhHZBb?!hF${FR7L~ZJLXDQQKy;_7sa^JR?Mo7r2&E0UAc49Us5U}a(Qv3*SqyqrUx?JMu zV9g1FLMxyHVWq(3T~~UWTi>NCs9!wv>56@+M*OGJb)CPlY@N4Yl{9#MeYhsB`Is55 z9cvlBwOzO_}kVU>3wk?Sod!sNo`;|Ts|tpd*aqjRZw#7f!jD1)*_y?(It&|GL{X-3)i?z z9l|CO;M?3AyE14Ti%`1inQoVWUlIFFgRF2)K_#pR1YdLlFS?8xggp3_uLu0{vCE}@ zhkDA*l0RSDfbd15=Dc#~@Nr20EdL+}wrapeoiiga7v;gj_%gdE_m_w|S{6azJIv4L zNB`>kNTit%hCZOaV-P{K`6xSslgPfX)D7DR+)76>G6=7tPZs&*0~V}MqHk^XCowqV zL%KIJluHHiS5^u>`SguFjbBaP;?B*g!hd^jI6}*IaA!DJr4xxOHP@t#1E^m>-mnU^ zwqS+Utb$v6+V5pKZh84LS(q!MyR-%6&R*gwm@CB!Wo}E%%0nI9NSg=n!4X6+ZoCg( zybp{>=R59ApL+xIpAdNWjnCkN6VlF`JjE|u#Ep-%z;yw9fd~n3O%tYi;ejNB?3_}Y zDR(ZZ<>kI=J$7gyS=uXvt^mu7eHApA5_2W`(?{i*S1z#xV~#Ycgj_(Z71E1+A}D_1p`=(c%!O@rd>xw$FxOx z0;g)nuNgBQ#sy-7^I13M{8T>kz1prBRlFeeyG(u;&DmlpbWhED=t|sCp%Ho|SZdUt zJ1$gKttUQex?7M|r=>nGj_eR0+SZVGb>4lTrkYc+#y=txYxRH8U7i(ZJDa8fi2aU1 zv7q> z=$*hoMCB)Y!g~3KBd4KhmoU*u)HmW#p!m|dBqyv>&7Ent zks>9Z=U+t^;X#EsfzY0-lA%_$sT~-(Z*wB4>F~~;VUSUEbOJ+;OK4sngu$r*t17A` zL)-8T`4;8K{$EJ10_HcUSX05$Hfikwa@`lHTkn&yPvOw7`jFlKXHyd_+Bs;gK1fn7 zI!pW(6tmyo5S3ELEJd2oA@Cv;>P_pR&H z&m{#7?fzEsr|BTuaxV2Ck3~$G(DIIz>-6v6AA{J@#vhD+7=`4HCiZ;0wdJ1`rF5tty5lQvc0!47THJVNrY+|@DRs;|)SSr892>9rV zD3V3|m5D-YnybVQ+Re@YS=>d^0lIW?mx?(l9OtE0zk4a1)E5Ix%=?+=Yv=qWv2XPJ z^~_>^p$sWMmY>hP(1z2k8phyf={qB{pJNiNQbjPcJf%@00_00FWCvb+n%1nP zgiox=FEr}DpDc+ireC7;dl3QNiPESGY0P&W45rXsG1sW@V>+91!i5neBt&>p%;^47 zEFtBDYuP<8BCon>JptHS8RT!TCw0FAm@C? zYj`C>#1;Xf>7x2_)2OT_&95Ei7k&_nr>cA&-K1EGn@u*Uk|jSE?xci#>AJ|rI@5cy zVebK~yJRBYZ%)C56?8*X=izSA=HWlfjBdP(M_#F2@mlE&O2cRdrX4NkO>|c5b+~s+_vK*XtGNxrC>LQYv@+JX#StyG z(cXR%>tp)Nne;>1n{w>h0S!AK63w0N9I-)cKVdKN%x0R3zi*#|HO+{m>k)2c6tA7Z zs9Smpr6#(8;&h3&uqnIn9^zz6F2SR%THIw~X@jsb#h=oof-}LZk+gYHa&skcb5w>=V8i(K!K(EMo4UZ2srdF^ zRcBU!Zk5$x8^(Z@v-pKG;2p<`S?PAnjEMBGdN8P?Xto&&7VwPkSg0cS!^S;uS*3~ZSfrx= z+r~dokGE|79H_;%LRzF{&GiEK>|r!&qtgYqVkbR3oeei(s{c;D|I-Zgw(gGJ6m`BGcmiK z0rJz!crVdekcSmZ841|-pN%Mr%qF)uy|?`&y?O}5 zJv-DNwc&jR%*RJ&r9w(!%FeEA)8ZrVUy_{#%eF^VXS~=}(2BfJ%N*}*1qmGIs?N*+ zsFD)=P8NXqp#D4p#|)L#DI3qC_NZB{2f!*p!)n!;C16yyww1mKoUkn7h*q|ZtqhyTUpRYyZ|hEQ_Dv;k+~)ofz)9dZ zpi;-SB2v_zJ$r|Rde)IQ>vVtJV8XQ*67)~sfm-YAJ*WT6}w& zjm^L+!5Lvud)TZOh9mVd7^-ZFdgW93mNP!8D2hBuUd}VYnNR7|XeG0HBVPw+pGwbP>!`_w4u?u-mDNQgC&3MZE>Ub8>xqC zHfgRMpBphR`-BKr?K{OeTii~#5Cg;4%y0$jgTN*}e80V~nV~Xom-(>Kg@0sVa;0+ zDYn({A1e+K3ki`&gOtk#JY>aVU)Z5o4a`V|1}VjoMX$FNu}MOTnF@&>sFTv+jCW%& z-f(HDZa@j{~RVaW7o$|zb8heo4b-JBS z!Hk1)HbQ|-36!#x@@>zw7#0XScw%W$r;mb7^DA{Lg)P~rMY2c9V*smcF`Se&;r+6c9t8D2Vc5-+UHM~#EsG4zP`a3!l)cd>7 zhxAN)2OLeDZ_dWOwkQ#ES4>G}`gNIec$3{{r>E=dAq6>}R_z`VJF;{O3*~bNA;n{a z?;7Hv($uko$ry}Fvc+184mhZUucm*qt6zqB#L8l*| zm$gg%HAP3xf2!$oyYl>w#^j2TkICK@Kj)^4-OKkrKVq9LJLZPq0?0{Ch>B^ah|*Xk%jn!4O%De795L^ z0Ph+USRBtOho}+8Ck3Sp$vt~kXMIoqbZFUq_?Fxki4hibS($gnma=EbM(eu{uoV8D zF8S7X;YB}YLH}_rLy{tWn*75Owm&Ozc#I^sfNHco&!Sl^NxUqD^BhkS!sd+LZOM86 zpTu*RGs}YY*M4XWfJRsU-W% zPg8no=S8EnU?gq!9!a=d)AeQ$zSoa|+|Wg@02?TF;?mRxpLCe!$V9OE;!H>L*uk(4 zB20p~3A6u)w{<`Foo!#XT`yjZNQ%)I-SJ;reLmr_{cm1LRZRmOtv%+#Ieu58?lP{J zQQ*1XI%daCWxJhozKe%J!v$;4O*eo1+PW1a)yQ_p*!3-EnDdk3`~d>r7(pfm|uA)tjG8*^0@yAr9tLdGUDRv=MTCZ(CrMiYSBdZl>|%B zkPDTy)!%x<27y`Wfp>GtZn&NbaGOOejRkGL*?kL-&Rf8AmwYye&E7=%b>`V3Okb$Y z=fc`l=iRjVt#M1rw({=-O!+Oip+`rLN{g!;C!Wv~l?bY`*XJY!6O1dMn2vEbXnR{p zd{7HW=nbwtzB++YTY_tl|EiC!e2qV?_ay7BRNy)3&U`wwYgy{3yR6*Uvn(`6n;VMg zq`~ope2w$?txB=AkZ@}G_>aBJ7k*NgHgm~|8FO1&2Xbr?;y3H#Z((uHsO4Fb_XQ(T z(ST~=I`TcW*= z{evF}bXin%GysiZCwWL|bID^*)5po&LG3;5!j5q;&lds*uAJsNixh{Wv;Z|X=VQ+ zDc_0M{NjZQ8?ip>vueQ)lL3Fr@3>BUsh`qO@3k~T@YT8|Qmke5qMw0(eXTTk4X;}5 z(rUcPf<1)D0Wp#}8y`JC{e>yV6Q=kf^l+I>pw0j3GUBkPKdg_?KeSVGMhe;KH+%0> n_8`|Jr|||GmKo54N{!XI1aJXsL^X5tN2&i<6!M4Z_xJw+^R4a0 diff --git a/firmware/db/valveboard_firmware.rtlv_sg.cdb b/firmware/db/valveboard_firmware.rtlv_sg.cdb deleted file mode 100644 index c3f7dc57a73cfcb307cac4cdd1d3282751aa2975..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 20816 zcmc$^c{r5s`!`+@#h{XXPxfWT5ZObKJt6xt7>qRrSqAU2C2JvrqO$MVQ{ z$k-aYF^uJR&-?Q^zR&NU@1M`{9NouxU-NpM*K%LWd7js)>*vm$tE4{<$aCjBfq!zq z`w`q9iSYH|heD)fq-FRu0});>{0dMAzl;nRc%TrVXsX9=fCzx|-*Z6(0J^+77yoU- z&iLQgIVjbC-W2)3^}ltBamWMvgWOvOZ~LZv`2w1ka|4NP)thQz)pdi_;c5>| zO-&P+4AtMYRh_Lb)&ItjzC}iIx6kR&+?wgI;8B}ni`d(&{;d$cq7dF@E_yv;hYI~( zw-{UNNXL3DM)*efT!`eOOI)nH1d4kA*AMWCP#NkPygOIpeGHKCEr}%OX!)3e9`xtu{TCd&W|t) zpCXyRf1EQVeWWzKmJY@egO;c&#E78%DO9@>F^pM0C?W^Oo|qXGQM)CWxM`P?u@@ zA4YCvZ{5dtGTBqvhmrogAAmlhS*x$=k5j+<^i1?&>j{4SFfN6!WgEZltAY+*-%g9G zRzK=3j#T_=^6==J2-ij&?Bo1~I5(`f%f$QRynja5Ou6Jn1l%vLRI;kB_S4`a)&tXw zu5hDR^6j?j$VQ$4p^cfqG#; z{r^#@1{53sg?2z80#G;s6vz|>37`e~DIL?F?UBd7s)d+BM~E9za@{EdVJ$l@qj65J+c4zE`Dj8%EVKM20Js4F zX#n8e2>^6lRkf9xq5pVp8-=1gG3Jft_fvelXa9YB|M%_n-?yjKtS*zM_ovw^sPja8RXdiZhT>E4ng zY+cCYL^r4B>xu55Z&t2c%Jd|;+7q{N>!fp$`;|?6t;EoZhh4H?a;tw96&BO2C{3q1 z9IizL!;5SbYD@ZmwaAtXQ^XiWO#f=h;yh*3I6m{aaw2iH{!C1KEAU5~C)1?ad|F`Z zwL|7zr8C>r<2hsdmi&z$`O2*e$`VeiJl6<0s|pQ&h7|bJL*#fjczBnJH?E6`-Mdhr zd2=V8$bG0&js4KqU>Y!D)vvtiop;~rM{?v||NZ?W<*%Ylszbfox}S9lNcZ48DRwD4 zR(GYfsyMz$0^+U&r6i_RWe{C<*ZWAMlSTCq@gq&5|1(8afR5u_hdMq z+G#@^aLiS|xn)1MGtIxD+8<8C$JPY*w;A#kPXeoik_i^G!RWI9IUE&%ZOv%Rx?|d4#Tv>yIWS>{Y z)FBun=ZR1;b3j=g*3#*H)fxJDgCx3^@BWc%$nMIV?}3|omDbIE%b;SH9g}pRYRU(- z7e}INDJv&eTUW0$-P4Ku!}3@3=T`+e&xy*TTFr^uKiHC_AGK3!G)jCN9NAHxk1b5H zsbs2UtRkLD$jOH4cIa2PYHxC160!bJz1Q9T-GBi7G@lsZC?2SE#^$$_SvAxy*3yM5 zW_Z!;qBvsRR)MlIxmhTmJsn8;Jii`W%~i&zV(dMCO#v%w=Vi{nq`;7}12-SIsO?bQ zc};W?`V1`L@TjBm<4@lNf?iq4hxzm5*QJaR*CHgf9S{O zjv20--u5|2s=;lX?ffL=k2x<>S0~7R?kQ)}%}Xh;S@AxcEB8Nb7GI8R>OG89%0E8) z+O-`;r>5UOD86M?J1D+E5q~LSE&RrdP=#56D8HoI z34%3GPZ_}m5c?Ey0toR7mVlt42s%rik|6G{qT8cMRO})jdl}7?uQ_pJ#WU|T2nuvh zRQO;17x?*KptREGvg7oOxbg$S{pWr!%WW@=CP%Y3eeMH(P87_bCcjHk-c-U&mH4%-q(0ZO&i6lxNOO5$qIk zog%JK1c)LoQ3Q4U(%{9fk=rwx^RHsh=ylYj0!4bX$X}yReI&0t@5o#z_EpHw5zj3S z!w8J67ixYr6MyhSsm6kX1+PM2Mw5m>K9B! zsF|(6#a+>7Q?*R{gLLbvRv{-!#m2D@OoE@5X{Oih?!cG&deu3q*S_vY&OLr`ebCc| zbT9NPSU#f+w8uS<-4cu7HMt#xj_cCnt1Fa0S4rr@Wpe0!4YpL?! zRSabQdIBv~Gc~`c)i6`txyY`t^S{YuUTn{Y6Z3h$`cJ30ZjYM!GhD1k&5}b5cE~3^ zGGlSBRUF%ni$&CUpHT`lh?>=E|KmQd=d1~*IBoZTHT@R1Me4S#ypGDb&!AjyyNcR- zPug+at`yZXaNSD^Gkwiga1(!31uR!bxG3@f9&e#zniod1!5tK!U{ zWN}#5QhJ|cG0y3(bRGNCsLDhqYR2-~UNPW@R$+x4oK+BV1O6x*nsAlf87g6z)paS6 z^YG`ba6bRwT?vlpOBp6KS2f}38vi`tfM4M=)8>e#1)w1f0D4S;xF`?}0KJ?)Dtb>+ z)%t{7E{(g1?B=MKr3ht;(4q)^iZG=J8)WyW2lf+Hu@Zs3Y1T|^(c_+U3rnfqi*lCc z$66DTiHF?t|X6#%sU=F}OS>h~#4L8^}Qc7Pf0QIJ?nhJG| zzOem7Tl8=J+S^w>6K$>DWZrVKt?bF@va+cI)T$&0RH+a%3X0Fs=%EOQJ~Wv52$+bLVSQ{<<+V)9|j&J)(FBjA96VHfq?ax-JNM|wM;y`EIx@!0H zxmvY6f1pC{aSdk2UO2vcd(CWnB6>-CL#{mi`0p)_n#P6WYEA zErWudy?!G*&S337;HcyNT`|h;Jq3)VfIQazRn{O3mqzuX87kJv7*&P>^?hKD3?KJ* zZixehZsQYWpF0BL5=A_Bpp+=$xjm&s5zp=LiCI4~@V9c+=V|5kshnnR&nEDpR-VWV zB~LMhaBW^oR}lULR!~p14CvXkd7UDl7F$C6J@sEJ^Ui4J2rxCznx%e3JY)O^!+DKM zUGMgbnz+Jhk2V}C>pitWxV*zH)aaHuX7lZbM-K-0l?KCplCE^;$Lm$>HGkV&kUWV? zb=;dD155$rh%~?6Ih%6zVLU8+_Ma!+Uy{)|w~p;}hMG0Pi_KAdo}br6Esm-LHNO>S zZfPy%EpNIE4R4Yd><&;vdgWN2>q0XU7X3`M)$dugax!>RB3B#6HWnn_xa;n)sva3K z7Y0XesgtaBysNAEL%FC*_3U}6ueNT%D#|pE%6-G7&i)L2f|P|BU7ug5v~_6PTUzYH zHdU_#xz0)JJ!uwuLH;zq7@NYS=2OD^_Q+vim4QzIHNEAB!2$)d%cS6y#rG+33X1}7 ziy^sp%f3yJHfi6`Or%t?{Z_4BGp|nH>=$dkdsIJi>0=UU`gT4GUrqle)zrZr=aM}UR8;; z@pPp4RAwd^{JA_%IDBG!?6NuU-dvM_IcuAdS+SAVg27Lbm;3q0n3vcTUTW1cTHlt# zBQ7T4+0f%Z0`?yd|MWYA+#I(eE(j~Mo0Qj?h*>xg+y0&;9}Gn`IZ!Tb{1J!p*Bkh< zcX?RMdDW`4_dCZfJBY04xuSLgH8WyUm`y6T0s-s=bH=AzOHJoLU?b;HUr3N!Wqviy zF8_pro4k(MKOMjHmt1sjd??+L;Ow!>-ec8bGWrThV?isaXd`{qtgJrX88}DE5|WB; zk1vh@%o9uC$ox6#XR{rE&NXkWn z{;;}bYt%~*$VCmf0(1XuWx*gz8t-uZG4;;Cm%a8_`^7>Xi}zH_;fOfA!`Jrqn9b_8 zePaID{b@=l=4LhPhGYM`OWQn# zX6LqbCm$fb95bLmfNxvWMUX}q?l z)?UmT^DXmHa#_(xUJU?k!cu;RTO#9aCn2xgQ}Gt)eWy<*doQkO{16IFHpzgjI!+ZlA*ZPJII|E#}KpAO|^Y(hQxHP`jv=~ahg z>5)z72}R_^*%hS(s{J~&e4^}Z$1yXZ2fDk(@TNhEEJlqNW1hn9pI;1)9Yg?itu z$;c#F#H5Qxm0`b0+6(MlZmd3540ZVwT;^ht^@KlaULu$ldg*x!702Gy5TF!CDP5tI zVkspiN-3s=%3u@Hul%^}_uNyYV0PxNXf0pcEpe!WeVHWc?N*>B(}(#v_)V?_fdu)x z&O0gvWqPW_gQ4crK-_#lxWMUqfiCwC4LW-UALR@AJyG7-V-l_RZ0TNf+*NCAkka!btby5yJvp`ti4- zUn?M3#LM1Q8o_|GIp?fGuMN^$ae0jKSiiWDSe>=6!mt~i`APNtnvu;>DAb3@ zmfjp(XWV(^Nw`|Yg~j-#?LcuwkPHArJtgwJ_fM! z*_3*J_!b5$_O&i%+U1&8IQbRyHbU99TEt~|(%m*OJND0tt1PC8W$LPOChJc=Omj8WS?8`GjXw8zG?|x->|R4#56mwpi0(b@>Yx zrZ0#dcL!TG8S&EF-^=(75BbUODykHer7mfXafVuS72X%R9g`BD(FNT}ZV7#)H^iD- z!i~5gWfFXJGh+1pJly1!E$?JqN&0tLy3(}yjcEN7Iq%83^`jTV4>vCeZ|1rVEPbqe z|Kd$SDZ><(kY&#zlGLjCe!l7Ff5i=`!K=FM)cqz(A3jN*pb&N{< zyaN-8gL?EU_M6QtYvWH>y5w~ozqwJdx69wmxZYfo&0|x>P8eFpB}d}@FeFl>S^Fkr z`S0mfp|5ul{+7mhT*s_w+RH`=>#Yf*FzL-6d2c?io{&7>&#!>q&}l^dZ67gxaH8Y!F>jQf5-c|@Mv;9n@84Z zb>=JIfWdxSOCm7aYYn4KKxNkL_Y(X=oRgeV?-g6qhrJd?@}T_!!vX0p@p;i4CTf-G z!qa!|)A2R1LB19FVi$4>dB6R7RHx4AFP2yLG&_6I)SPAwo`Xm20pGqK_Q`)w>&3ov zhlS&4xhU1?nH8?7%~xmtcZ#5DO>MgoEAD}s)?CusKHpu0PZc})UhPL4(Q^BB7qujD zu!B$A2IVq)q3a%Htw^EoLNB#R^-Mhz*VxZn$E@v_R3sFC@L5VSM{zcU`&`%C!er(U z(7KtU-WAG6Pvw}g7ptlsHfN@)p!Pe6#4+%Q>>9{T*;p&tf7{9yZBAxN&TfA zMYca9!aZ>VFN;S<1bUV&Q;m!H^RzV7W}cyl7Z3O7b|zTr%tlRO*3`y1Am^FhT85klq>Zij&3K5`H!qrkDEs7U;%%gkcQgg zGt?vM!^F!wRseCM$4x7BFqJ<~N<)q687heSaPRWY1oNK}!Jg$ism7W7d4d{hiW+Jn z&rp*W58qtgL9qNm3iK3Pr4DBB=V@!G1#Z$MM^YckUD_!H26wY(85&fr)4Y7an)_f+MCXvO+RR-nhtBy}*IKQBZ>O%@p2#Y5cXoh;Trb^JYUwKx<$iVXUxD6Q)L zN^O%R*SLPR?hbb1wcOPkGRq?+w}1EbkMYd0qHNx6kyOT_MCMP=7k}||?LWX+gI6A8 z*Zch}h8^tB{}Mgj0kQ*09x>IlZ6Mn++S{YoxaS_U=3;VViCsf3GyBx#|E|6{^?z3X zO7u6IIo1J4cU8)E8z>j=w@43bdP=09IqMH*Y+rd(R+W|>+g4>!@wxL~?Mf{U!4)(nD<3`x8M-@n zs1Du?mcD4 zb=Wr#8jtV?NfC3T`hz47NzEq<$;k!AU#3xNpQ%wZ?_X{0_h&w>rWF^aObKuS+pLtT zpOe_+>>D$e?>Jq)viZX5^z-KwEuInTG59$7d)%p<(&_uK0`EoYb?2KNQ>XSG4m54N zCG4C3xxT5KG;Z~epTx)IK{vQ66$D79C7UTnrz>IWDUAZn8&u-28k#Sh*^G&_{@CFlz14?}=S3!98)dDO1ELRZX5diCC7p5bGU%Cpw zvk?VZ0L%)sT$H7gU7C(yed&4wo((VfUTjeJLM~ryq(ZR&aj#s?eOWZLUw(KGfD`0e z#YX-L8b0Zji@QIP1?`s^4k$1{zK{#cGc_rjwj6DWVt)ngKR4`EXdoLc$0atB$lq_> zE9ZQ_4uEeDO9F7b9KG0xfndLFuUy@I*)(Xs%CJG9LEUpXX0Z`TK|?iows`@EVU{Zo zBG$BM;%;_FI->Dqkvcrvu3!~_`4FE?ixlr>52PcKUlswMXIg;J&%$#ftb6Z|ud&Xj?kU-nwsAh1@*3%}wP)tF1mMC{L32P4B@xFCS zEeTBJz_i63ndLn%;#5<{!C;tYn$#uE<7y_Gcv5V+ly`Cf|GoZna*X_+ebgrPLwb0O z%7Mn}C)JE%{?TiU&cU4M=89hFQ3l{{CbzZ`6w3?jxfEKDyd_N=lF#2y5%~Po#VLMq zEk$ySLg!n$(YFb6;Op6)yZPeYAD@VSwpfcn`xZx;G z4@E~EeUL*;l^QP&nm!G&Yk7_FVvFq-Rn9r4Jth(kMjKOI5y(p46o9Z@i0lvTur2r?~N z)y_UiM<~6-L*Utr1&RR7fG{#GLTF^~rX#Xb@lbd+O+jgRWQ$Kmm2cpEQ^2LNNOQ{- z86cm^^^1+X5is=Zl@qx?k_+v>I{fEhk>Z`~s&oV~wMYb>%~P-n>~4Al&BLOJJK68k z5x-JhZ^E-#3OFPL`^TJ?KXEz@{>lHm=El;W!8MxaRIQF(q69c|tnR+ST%1c*4%8w&OEv27dR_*Hk;VHio zBjZEtSu5ANNEPZ`QPH;O406FDFL7A3ig+Ve-z@u2j`1R8Xn~3IQ<_2E@29uLB1D4J z{4UIUsi@GF-mqCEHU6{vIt~7&>E}%kdgOiCx6ppjFs{fzHb!n2UJxP>kc=wFo}3=# zhW>p7K@3Lmjh#h^HG&bxV?zNsbCY&&3@ELjxG9Nc>M7#6-t;YbWd}VC)RCgO0k!n~fZvx#9_G=?0 zB>ewz)+-*W{B}bH2j_;aWs^1k+GFezbt+P_j(1l+zrigPRho65zA2-a7c=fwDew7t zH?dbIqH1}YAzNLUryWsw>t{RQmYv^y4xGVD9TM%NrOe2?R@d}vu8asAkv)vg#!O5Q zKlMkSEP1ydehC;M>uf#URA>8ie3)q;a`~F1J*~hCkKgy$`!n2zDtBGVV@#xq&lJ3G zKi+P9_ZZduK;}XCcHoVYE2QOh>Wt`WW;N|HR+Aaxk7!xXAu~G%RN_yYPG4H__+=^W z2$>alxze4j$uX(L;n0E znqGmC$!Q>A(q*{Qwfk0QFu>JXvbFa47ingsF~i}Wyrnvg(REhCOTAb-{%^Vj<-RoKyH zheQ$eC6xm#l?kFW5!R!-E@6C_Am3A_aiS=dX&B$Paq4w!OlRHXtU{-f@mks>`CT}! zcE%i0dZtS-%cR*-yhxVEDye=GD!uPf{9aPIw4bX{xw2X$CrIx}PJ^$^R4eUg9f2R_ zgsDWL54K?h5w0q9=pu*}0L);K=!U`^Uj(sUh6w3v9bTD`)^b&4%|aKyw_`b1EV09!QE=z#5Vz@WbN&MvI5-ELLpkxc;L0l zLSfH+(_NG8tKf!;@Nt9I^TT&^T6jbUU5qa!R2LTzJ+&arA-w&-9{fHs8gb2|8bdVP zxSI3+gq!#AmGl3!cniF88!eTTsK8{$h#NYFwt=T zYUA^HGMrbj%QL<)^~A$NyDdiZl2Jxkh8UemMZV>IZ@d*^(}V9yAh&eQ{1df{0v*@y z*;IljE#~T_-pQ?`$@6S`8TgtOW=$KD{l8b)mVnuLuFh5LW=t`ffQEX19`ql#7-s$h zW)qJux$fiHd>3mzskP7ZpONeSA_z>Lz5Rg9SB=kvgf!g84x#He!D{`HrG%GidQXs_vj(Opmhb(m=Xl9{5cl5qt0o3|ORmwr&35&qfKv`X0M zyEm3JWhLTHp5E{-AeR1Fy!R=E3uUp1{m@u?>p`ojTOPs);rY(=E85>u_pPaUJ0jt4 zEH1yjv(GaM+4Ztg3(h0y&a|->k81ARhDs+3G8o={ff`!o?{V5KocZ0yh?<}~en(rU zRjd^%ve1w*Y$VKM`wz;#=NBMW_;i+$G@^&L3cDmYPDPr);+4)W{{ z!t4%5eOvH}-+gkZ)do^+7wMH7ibE8Wc`piVx>Y#0(8svgC%V|@$kB%5LS;pRqgWk? z><+OU4zayk@L#|Cs8Jk^B>%4@Xb%Yr%;5-)L-%}NNmr&|cVA-_ho27Z6IKF62J_JV5vlK8k{uj zwgtb^CTWF2I8mM~aZDy}6qsrY-nF1lzo<{O*cZUj7Qlg8jRSkKI!v-Vtg$<|_HGHe zZ51-Ld0C=X9f6H^65O~8t^*qL*(zjf^Rhw>fkDCnwLEr^HeANMetAbGFR9jfyD&$L z?X&UFBk5g0)oZJetL?89Y88%BgrTf}nbeK~+ifjuw?7Ow?1Rr8%q?2D{8_SWhITt)K_WFQaKww?=T?QmI!V83u&uJpYLn# z$P6O+!?uM6DQi`09SiQ`6PM1ECk-d)T%CNW7Bb-cY#Sc64F~olD??j-XJ$kKIAFIS z_f?Hg(l*>qq_e4V5aPR4c(Dy+P1z#AMwtKxX%z+T--3@X^l>ltU0dvP#U*M!moFir`+@ z!`4-5>QHl5|6#2J`zo5~t}9oZrmg=$(Ko?i>0s%@I#sw%;njXb7~o68a=lC>-8HFH zI|FCz_q<=hwY{Hkk+&ieyqOy{61;gDVF}(WjV1}+9E~kqjhCjPyX2Xs(!1p8rxLs5 z8K&NJ$zPs&)+Nt4^{PvrX6i+k{DrCPE_v#y*e>~VQ?I*z(M~0I{i2%6?fP|b>S@>O zC_;~uXB^?1lV=P8=j0hn=ydXoCiFU$$5l#?zr0(Sfp<5N@?v0}5~^x8IguY-M~+)d zHkyu4N;W!=D@itLjVnnthL3-S=@SQCAA_mhdfpDzII!s_Yd5qfO-jAGZgfVUo3EKA zln2kZBi;Us$P(@CToce1xpQ>0?tEW2wLyRb-8!qQAX@N(@Mr!QL;AYQIDzwNxua8! zkWv*IYJ>c!ULez2NhGA>W1cPhqKh05c zNvy6+=!Oe;`C&O5bgQF1-NG^}x{}qE550T=FN`Lzx~ij#FW_m=iuA~HIOp?79-K5i z@(NDtJdy#&OOL#S3qOx!!Ku+B*>QH~kr#32>5#{=+|twD|fM#mo4Xe-!EQ6)}nz z@fH8*o^*uJBRO%1^MJSqdD&9ppb!NQa9H|2H*#WZt-p^3I}euJ96Yf7%47{b^L>GJ zbMKa_4`5n<`81>pGWeC7I_1db`>7i}Ism-(^r=_PF*L3U7@RB)1|fHF%R$1}@uC>+^T4V^P}OC^7-exLkhqS&w;*J8 zJU@n;3RnXls=7QFQ5L6y4uL2$;LgWR^g*y4Z_d?WzH+;uXF$jcI5Eh9nng<_pA-76 zV-@U}bEGZ!8jb_<1cZadPIN$uzrLYD_I>4sQNDr`cM+lh!kNTQbVA&|+Fn5Rf8}K>eq zqZ1rA{!w&xG?Fp+9Ea@&nq$I=Q6aNo!>zaF@lZ6aqY*nWCutj{H8K`oRW8W-Iu1Y$ zJ}Y#0+g{wI#+gt7lQ*m?Esy6!yE!s)p?3jQ^BS44j+S%CA75=9(E)?;NzLc+Ea(#& z{0(3PI?tO^+%nS^JH5w-UZ!QgTD-Xbo8%74n@m}KKiWS=1prrTPb13$nnJwl30qb^ z5A%M`GR#Q*_!z%9`o~hYto~t)6!I1@~xMpZjKjEtxl8b@@}! zi^x#D#sfxd10Q5^if1gM$K4lcOTL=_w)@0Saez;D(uR91qSM_s$d)XY-}>E;;Fd_# z#x~^1PI3W6hkNxCTe47o>wq7@B9Ul~Z5UfXJ`p5 z++%7z?hb*rZOvV93uR9;m6K8(-S3kmfA~C|9?@OH9#+m8SGK>}z(6kz2 zd5c)eiAm43m?dc>ehEx=3t||21eO_mG-63QnppdD+r&oH7}(@fUu|8{az!Vi-KMva zp42c1CmGHm9IGG(oH?`I)92H=(VGy61&45wjIZ;?GhOGdCJwYGD6RWNlgz=_0+~4* zz^uh8Vj#QY8>Wu(9O%6_>22*Qium#Cn2N}DBXgC3%Sn+%mAd$wXm{6c!Ysdw5d^0# zfP4d!znm2kJ0a9Pbtb%s6Mu4sZL{tD*r6(}u-t5FZPBl@kTt2*ZI zH7J?gwJ8-ix?#~c-q;BVmmpc3nm}Cwj7XpL7KFUhgOkB&2-HQv7%yiLKuF6TFK4u% zrmF;on+0majz_0X;2^u2uFM#2HYi}vqEjcnLPTP4p|OfWF27`O2!XoSuz^ci7IWs| z_tx}+xf=O(oq;H!x==#i0sDq3ToY_?jQaq07nr#{0Rsdl8Kgoay_#4sxU~l z#_EZe)3FL0(94b5jN;HplTdXoc6=dF1N;i8Azio^0eq3Q5`xVLF{#{|{~c(yI_+5z zY#9Ap-nA9bb4X7rot4R$HRfcR>Grw~v{Y3qGfJ)+ChIzPOriTV)mK%m<%>cO9)+qS zxKUhn=D_eq`nt_F&+BiBv{HTT_M&vpRwn~St5xpUpBU$!d0isc7wyhwYPzaoTCPFY z*4ww?dAMJgVX7Ku!GU7oxWr*u@@-qY>DB29u}DS_akW_NX`mz2e0% zURR;U?5^dhAvnlXv_a@tu~ck5eO4T(POC@75v?eI%!38dWrcz2^n0e^=owAGG5x&? zZQ^jPPHpajkfU*iu@hhy9|-Qe0P-!2kuJ*m1Na&V zO*{l+%L0vK$7iQ1_CUsB0N>Rt-~zZZLxH*!n9t>`RuIyr=PwMctO+>9X%^@gc6@H? z#COO+42~P4as{f)j(?vDc-xd{oP2DEq)Q_d$1G461Iwk$>Idx_^msX;mo;4lF)df1 zlpU06H3+GW23(d^0=Z;Ay@>B&A7nimSFYZ4;Jp@u(~q6tcd@6O;N5>uup6k(sz(Km zmeRyu#(1$nQ#f36QbWE$rebhfu@eF=yb#=*)aF5matuy2c0$Ny1B&wzK*qs{m$Ifn zbrwC-PUvt=yfEf38&rWE|4(Z30E9LM*O019#{MBIRCDgx*O7SA7tgqsonW)4?nei{ zyr-6ih8roq2g;(xkv&zdtIENA>tVrX_@iyYuZV7U-)Q2o$WP_FcBq3D#r)RulR73+ z@~bi<7iJP&+nyX+g>SB1%x|6bBV;BLm9PyCGLxP>WAM8;6WpHD=2ZSwoY$tey3ZL;U4 zWBsObl`7VK4rv=Ek{>?iN3csI@?aaD$WAu#jLl-)=lpHM!1>`LeuVHuA_L%UWGBaX z#?-#LI{*%nKR|`d-SX(lAcz^G$<{splYTa~7*r1)7dV7caCZH^w z-^w;=^Z2Bd=)AhATEa9kgWrxwz$%(Zz59tgN(jdLTypNu&Ta0~F{HJq%=DaOx=V*CamfbjzY4#4=Cf{>4T-ngKVnn1Afh7F44z&}gPazpRlTjb0b zo5f~rKVyvs0vy}xP%JzCRjOhyBr^tgHMaSN3lMmj3m`>ZjE)HlVZ_itx65VSSJsn{j4A`5cgEmAjwZ zn|(aqS%(kR^VsZ&@H}ovSRMe10uo`W{xNklq%6N%dG;BgAm4Qjbx(_N=h35^;0)CcCJM7EiBohb0JK0m+ zslG|6>I6MByYPv~tQ}UjIBCp5Fa!wadIf-ZGc^1A6Omp!taWj6b-FV574~CbvS+(f z{g_f!tGtqsL%=MaZcN!$Ew<9R-T}aJha!M3Xtc@AKw!c_a09lAQ+*ylWDP|CU9f7C zYktUM@rTV*%rN@z_a8oKj!$;;VA785E(rh?iDlVo-R7qBzk>Z_Hj!v7g+ z1NO3pHo0tZGLD@fk?hImS`P+@=%F@XFPmtSKLBA1JAobukYp#9ft@+vo(F6;>iqCu zeuT6{A_um?SZ1;qAo|_&{B6mg{P1BvLR}*9GPVH-sjvVs=spNE_;g4O=;@v|xnyxN zlbs-#?CIcI&jb)LLux=z=Gx?Ui<4vQ1S()`@vS1buVWIEHNj(qj^Hc!1hEqX`6C+h6ur4#Iw_Mz!FRT65?FbsyxSrsV zQvl=jL+o+IXwEqd1*g0e&(2?#3)-s2I!#4Y_Bkp4Bjr}Z)A7rv=Iz&C9yM<}etFf{ zef{NLW7qM>w`LTEdSRVVdA?CU(F7)*DLg(P)yO(-B-JQCo-EbKIL;{5Xf#fgYV;Wo zl4`UcZw8JxoHZo)*{sZ4G-f}fy-)2 z4B#c>*Mugu2|z&B^VbngtLd5yTVQ!|g8+n>#yx+X&|m)bv{#{F?D$4XG};u6Q;XFd zyK}Q9dG^FDK|qc-KU&wBbrQX<3EV$znJM18EL9N)v52M^N=cXDK-npI>uXT#*XgtO z+1WDF#TnD>V7e^T;3pMH%}EDCdTW#zk`nLYi&7^BAqvqHw=eC&7>iq~X7fF`1##Q* z8LAKeC=lB$PO-Zz0?25XG(9D5(Cq=Pq6t8RI+itAg!nS@<%7`F+gWvQ=V9eaA%jrk z#w6X%+1HTO@L74`Rzcg&f`jBK^qw^Ga@uT)51<76=e^LBFMv{PCZMznC}He7fxw1Y z6R$!EoPk@reNO?I#(OE`d6b8^arP^0*Qb7&+cY9JJC-@GSH+qm+mF?6S8u<}&Zb9+ zWHG2$5J%GG8PY86K6MP&Z@OQH4sy7rrG|7va$<0%u@g63av``!0=sBf2Th2AqRhodteI&lR_dyTU&n$8ELrM5g%WA6&FktcY-a zc=FlNlx7Sb#%Q5uScbADi=DdpFV9m!ymQ@-p(H@ExiOkR$6&!ee|~UqWb7<1(+X#rI+eQf|Zxu zM;=Qr#qngx#-MQ{$;L!Ha{tL#{IQ|I6b!)t&3XFNJFy51SZ(LZiF=V_* zve9bXRk9H=j(0R26r>)en#rF_8n{)gsFSpIMQ$MkcXKdoaqoCP-DN-{OwAytMd#T- z*x-%A)d-rvJzy)yIjVp?UI&Mj2rXjI@FB=pPmXNFp6Vvh*(8I7b6**l>qV;Y~XSh zGYDzl!|jHq*L2N=Nz-LH|7%_0=sr#SC5-J=XdDN{u787!MdLL7HO~+ngTTMpfUIy3 zQorXf96hD!%7mG|3jM-?uS%Whf*eHSjAC^MC0E4^)o)@UOwl;T*bq^dMp>MoKwT1y zmp-c!guL5h%a~j8@;yLkldsp0gj}*{7=%oU0I^%2d){MPGIxIXv>#zNkqG3l*w_iqK@l=jXHnt8;87ceOo-N0Zh6(_Wj@WRj^wQ{Rh_u7hFFs)&(~^0Mn- z9@^O-jNVm8BmiJ!~YXrI5AVzbM?W zy?M|TP<`7+l_{5251BW5WBA9Hf&G8gxTxdxpA zGD?&X$2c0t8XF?+A}@=R6{w4c<2^A`;}vBF-2hi;9LdP>L)PkvYMx>Y1sjshXdAwqgaRwb1UXN~?^Vw) zh1DDq*yEYMIf>DHvJNH_PHbXC2~gNXNHIBI2eX7AmNK}VsHQ|{nBg*a=IDOffc~_l zapA$qgROBRc^F!_+e@se#mO(!GnJ7$3weX;ol7NO-94i47F(BI(<&tWhG#=y;j!j=c*GvB(<7 zzWp1MDntI4=Ft)%`KQLQIWpa@tSd0z%(p(A8*sKWxD}Y@eMPJ7mn9nXMM`I#4Mx-p z6!ha@2jHfyidKRDHK#8IcGlHnM44dVNw5QW0$a2S{O>mUBDJ$_94?9O8%ZFUhdB7h z_=#7Ptch;pWz#?gf8M&_GGll4qgM&yW%Y#DlN{2ete3?epv~>`=-r;*(>qX?7aJqU z9h&uu**7eftV@)LSK){)wSVaNl*P+0M#5iQ9Iy`lsa|2QAjfX|wNCioUWqe(ZQP;0 zZ7coJk8}CF;d!t7Zxg-qo-Z_)&yJNEcfrr4V2Z-YL4Q9M=z4zU8R;&Rg}$P*WXs9A z?Yb9B-vbL!+UTUS@5z;0 zi<>?yvZ=7G8edP0p;{G@BTw2*bd95r%`KmV_tN!BEqmFhf?himjZnvDI z2d*t^h(j<6s}CFi1AgLn63ZnAHvXlc@FRVN*&#YD9at?Bcvz>p=Iz? zZelu}yYG^;wQTj-owdQZNT26TqU`YNqS>7zV=cI<8+;C->IRQ)j}JGR29yPz*W7+VXK8AJhn>cjmOpqZ{nLC3Jvg0)xs%!IbVn=2|cCm zL+gmR9{P$<#o`~HV_2h);V;$*Gw{<7g_`*3THzvonj?(BPuB@K`00A#zaUbbFc;tL zLr#C_?nRz|=zfk|^Y9s*d}^g%m;B{5>4(ya5PL`KW0I^4!^uayf#scrw4S2iKu6&?G;kP|D<5a{}(gsf^aD#9KD7UnFKVv3V8LaprC9AUuToTR* z?UuF*&`3pDjN}g~*VHM^3)+AJuH7DD!LvuBmJnhK<_%*eNf`u{#nkguHE~irw;Q#@ zt1#y^V^EQB6G@?^RzO3;sipY0UiHsVn|St?JTeMZ4>wuR^KeDof&p0^1ruLZBc{i5 zd8m7%SG*DiiMUED=p<^%6@`UC*2yl^vSDq=Ly?k$FQ9S#5(YzM`N&jnXfAHifY}Y3 z*M=6fLG}p3P0!IQbxN|(jlir6?(Q}QQKeXcU!h2;Qlh+Q1JtpA^DInBM@GpqyS;I? zJ_)vX5avOLQC-eGy}K2&S7_NsNK-WHco&X$44K-|IA@koIDn)WrDS!rysel@Q(nu4 zb{ChcOW9pt$BoIq2p-?Il)sD{1-;U}KP# z_^Ym$H0ypVF(xQe52@GacP|j8XoD-Rvd1fQ*2m6S0w7z+?uqyCz4sO8*<_)!;<2dr zb1{3a!)5V;VcM5d>X(FJfu7KW!BdwBXT!fA#rPyafv9@{ugJLn&`*Z_*nXyfbx!g8qoZ2RviKt<}k2!xpGOz`<53<#TrIK#Wrm?0o; zuN^Ix`iHvNE#28wcg-@>Wqgbb-TRJMyDiNB6Klo_Lb(m+vBNH`AO~QS!xOs}mdGMf zI`e(bE|yI#dOXo>s(z3e=70Ryg{L4hvrq+@8P`;nnHeJ~KM*{*#?r#>LvD5KQ?Klw zbUh8fO}M%IssrK(DL-EjiM5y<$%qBP2m@&%=i~6=M2MO^xSKGGhAfbjJbZ+DJ{DHv zEEpm(A32Hj`7=5g8w9bH0TzNvqAb=kB~+pzBoa(~P4#@Ubuc9|i=4#T{H;2eEeIe2 zd~wV%nHade88Z$S7c*?TQKg9xBH7klMq3COZS`yfv_INI35(#A273S4p#C{RM}13;vy{Vf1)@rR|=V%U!{RmwlzWMO4vc$pC$EH&CGeCyaKewWJyb`dRC@ zuB8?X-qZ~3eH!j%J)7G|VtomKjjq1djT26aseaj&r#zAsa z@mxsAV$07NQ3_(r)e_R_FXeb;x&%pnvny&(*0JB13`p6c;5HKqUaR!6S zmo4t*u>^*T&-rC>##=uz<0A zUF(RId=+dnw&~9uDoxnrh9@dqJE?R-ic*qY%HyV$B&9rmubiT)eo%?=;BJ#tRbanaU%rdK?e&{UgLm+E zGg@6waq_K377-LEkh|$=vecjH<1=rjv^RMM(JrMHwx*ZoX8al-zfFag`7HVJI)VLEZ=SsAyQJ>!3Xh=~Tr zuK6b`v)%Gp2YypKwc-A5$6Ksr_!+tP8HaDx#5HSTHt4G{+7>p+JvozlZL@=LPu}=_ z>7MvB$0^4tEe^MVs}{G7HNx5#XpI`gfB!+_#%97Lxhe0lnx+z8di<{nsT+>FeNg&o zwDRc8mz66uxn@?XLJR}|vot%U diff --git a/firmware/db/valveboard_firmware.sld_design_entry_dsc.sci b/firmware/db/valveboard_firmware.sld_design_entry_dsc.sci deleted file mode 100644 index 586cc7a764848326f3dcff55f0f65aba23df5682..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 223 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=AZ28@c)0HSXx5L zjsph{B;48)+AHW`%xGvXz;0cAZ1)bf>RrDL4K)~k8ZZPMW0rQ`M_WBwfGx(Y_@pHzq$H&H zG`;MdtoanACHbVJ?hwAxl7#6aJw5|_Pa8gMYkN<^+7|x*hv4G*7m3K}#{Zvx#}wZG z&wYvavZ-Bf-}iefD0!y`Kx&}L2Z-%T4ZJruZ)JZfney_n?TUVYE&o6Skq*y(Tv4r$ z&$LW^Y4Vr=-D{c^1P`(1+Z&PYLeUJGj5m-Be8>VyH%k82XaS@E(wMHNl2Y6C>I<*T z$=ImF`9VoA>P3@_(Y&ha$>rtwQt3b2ZY3QR^pvYg`qza z&3*VYE^hKZYdyr_l9pzvTlcdcShjjvm<~H^z}bRb+ELvqFqXxCop*`pKhIhzvim&`w{r5D_iD8k0-?OMevZd+ zzWcfq?Va7h!d{%bW>ne+R)R7#^2aI#3aAB}fO?sO6m~ps)o#cw7(0;#>E}>LcQ*E< z@nYuHSh3wb@Xw2T@<%>hs(?(!?2aWmRGQc=-tMC(3njzo7TgL_Y6Y49>>Sna{4V0z z;U~OzkqOoh&M73$(rt+;Rmv+uH-oVUo3t*fOu)oD#ZmY?7QN2hpwBD9+IG6B)8;7; zRz(s%(pF?!?7BppcI-ZXQj6inNYsHhHYSCQc0!|D@+|!~;fOg_oVa z2xi}`g?@T$5`(DW4Dkwy5B2bUw!0!8ZY9P#=%KrrFS=s6=triaK;8vqK)CyQznSg4 z6zIt@+C60_X!jj;uSw?HmSWMxJ6&T2;cSizWVX6AOjbp&m3_5=vsd)EUVxA?UYuF)FNM0Uz+ zS)xQLr|gY*F(00${oB)zWBLt7q~Y;bJ6Yoc3#_5SoEP!N_tyNk-ve9a%Y;d1RBqZz zkLHp@roOpxr`wFeXfAXP`DLM3zQODr^)3|Hup%4yPA6C7qb$Jrejvp$*%h2WCMb2Jfm6W5XPQ4funJbyOH2AQ-*eZ-`)o;qR0$WY&9=NVr8NahLu~X{@-U!rJ(Q1*L5H z?m&oPkSVtoaCEx*zt;X*x4@jMFpY*lo5MAAdi5E6+57;93g`<>d(>gL`-5(pLUQ?6 zkMdO%_8FncLpI$J63mSLDxAc90~9^7Fj!Q;*e?bz!B5VL)jh?oPH(%FeMJ}{fwij} zsoYaAwD2~+yEU9gOeV8PCA&Yn%}(IrXV-EjIAH%yo|HMcc_`LgFJ)mL*0hm}jC&nt za6d9pH#?g#%JP`zHb*csU%bEbchgVZdQE`K9I!ua*`ne%D>;i6Guw35W8!pZQOe*z z=K5XEVweMsvb<4*8cep4lv^bK0`jd3n}{F7R84M5=*%lFGKwQ&M5jqgxkI0V_K%gg zKtZJVL_L-nJ)51V|43UpbQ5hDqNLreIW836)(n0!s=6ayT`ZG)?D(*aCzN4M8$Wq! zdBj`}?b@CcG-+LPRg|2In14$rC-3KIUd7Y)!qA3SX=FKDdx3h#>Q3k<*4G^9>>KAb zhejO>nnP6Lw7q$2hQq3Y=R0$Ud_q@6>vUMor(ONA=?@0lsAg_7&j?%A@sF{Q zm7U+1neXEdAMN|Z>DJMG84i4*@)0g=B!0%+))l8H$0GNT*vQ(up0z)x(%uCgIhkKV ze%R(Q3W5Lwt78y2b?cC>&qUTJRf_3DQ)Ds zn29R?wBrpMw2>-y@y%<}a53$5!`)pBH^9b+wYv$(D*xFO15s6zp}@QU>}g(5@m;x24qSfligx@ zU-jIS@u0e$NG~FQu7$hr|D_8G1MG#R@9w5r=8ir&=Pt3#-^2LmSiyp zn7eC_zo2K@G7rp>WSm>-QS4TRax$&`(aNxDqI2KjCmC1}deaik)qFQ_L-k?$ zrvxggY;B^aMQrl>%Nw`5_}?!ID;2sw+%cVCnWz{`8}t4Byv5+i z=S-%|5`Fi%4gd-o`f!k>@L>;MI+|yon%wOxP`A^G=D~g@3aV(fazx{aSXI^yij>b= z_1U4^JHk_~{d?A?D)W;Srm-e9PzjRIoAn8xE7a!>zxp{>rmCtQnttjKGM-EGWqP@< zFP$yZ>O<=r*Y>dS9%E9_hNNbB?b{>}j8L1vPM|9hQ=-wU(Y0GEd?TY%4j&T3|3EsF zw*H`E>2$X2dg$fT6DxEolt>PxrPITP!y$0bpral$;Dupf3F+Y-`BC?8CuPElh0u<& zOdeCi`*Sz#w-y&SHDWZ>N`(DLyWzy5dsA=VxZ#c}7qL`5Uwv-H&zxwn`*-4h3MM~^ z<*Vkl!rD}zncCc#B_2#*j{%l;Z+`#CFRuJJC&W9q6nsOh8Mlkk)G?*AqCzyD!Fo(> zhHE^&g?Cn@8E=hnnNT=Jo=F9EDR0Z+X)Ojs?nr};LKY|mK2G3c3b$-zCAb&tux~5^ z%k{Law0a|z7tI3lD(D)xER`!~kV5>n>#08+o@S>~^$UbQ9OrDuf98`-c`Pn0c5lI$ z`r$_LtBq78WsJ0ej#xjX1wRK?Kv-Lpw}qK)Ol$ylsJTG%aUiwWTvL9XhsKw!d`2rOwY|I8D=?>LN z`6}NGWLUP6fKGQA(m$v(f5bl2_Ya~qv0Mld>|Qr@n+yy8GQaA6J=vH*BwoE_V?xgM zku{p_*xI^Jt}0z)vRqix>TW9hNFn0U#oHL!F~eQuKvs>X)4zVZ_Qq7-bC}J}7-UlzhfH(q z3%O7K(j@B*yzi+Rmf9H~W<1xtj!Hh3RWQy+T(0O)%z$6-4W15^4%BJvpPhZ|qS;#& zdCosWTM}qPCow?vsai?2T>Cv{x&E5*$C^I+Q7HcRTMTeQVl6_Vj<$P*vB=_)ms99^ z7iaxb5}x_Ar}sKIM);CAB|GFP(P5-69!COizdG(}XS~om5Gdksu(Nd2E_RXWpe`?L zk%QTnz&Mx`!rX)ft;)Re(zD^H@tzgxlU92;{*>>NnBy>a>X&?uWDoOLiuYW(RVv{R zjjDL7`B-$+4Pnf?4@zY8OltFr1D%xfjrh_wWYlD7+-ik8Khaa?($hn0^FFRDlXLdc zJRbvD$i&VIcFL$U22<=fJ$7a!i^sS|$yoAUU=kW>{dOW6@<_y&$0;bqloM7~_>;J} z`RW=pQku3s=g}fO<;o#Wja|Y&aulR8$?!KVXMaOO-C<`eh zC!a}`rN+~bXg3oPnHa~+P7U$0axTxh62{34vO!7Y?=seXslesof zTp0lUo$}GKW;$iK9Z!Bumq>lDz{J_9p4hCO4sZREl&(_R-0j70J)tv=4d;BUmqEeL z@Yj?v>Fu<~hHWSZ6+=L!^7~wEa9g4HD&PM508eP4^znFPXXEVYcslobjrHM->GBmw z33b9PF?Gskgb+93WsBw5pO;8fIagZgy|X%Wm_^3$1QBS$WT?D*uWsn~ zGh4Lp3o7Mbuss!hVZ;Th&}Cwl}ZN-HSFle94sVEbfOW8K4^AGLfD)I;TUyJk}GsLuL?|3jV?$&NqoHcmZwzlD(m;X z;+V;h0CCl;JV#nqnZvlP;Ydwal3T;ZCH6E^Uun*&ww>QexpPoA1%0)TzOOgP)&Vo0 z>fkL$mbKFemmA`qL61&_?-^)(NQdx?EB7Bcp4X z4Y{0qj`_16^kb*8oyi)uWNY$QOR{^Lqbw)u>+4u0{YJ?bf8^QP9uPdtufwe9NiOQ?uqQ>uOcEmnmtxB(HPjuMM{q%N`TQIWF{wAx?L@sXpr z2RIB=a&?&5zs24&Ue6M%Vi#T#9_b8y8G=5ckesg~F+v(B{I_UA% z;1WZId&7!;b7!ox<}!7V@h4c}=-Guc zZduixOzk?NI%;abqE`ATPX!6irth3=@_cwS=Z6>B&xQb&v!On#xE?@R`;Kfw8ES!H zN<(FgTup2qihlMI*z~M zyYieLjS2S(zZ^mv%Brb_#(X5# zome8%AtI%)yFsSRo2!@{Q^xxRWYx<*$WqA#o1Z}8#)#Ho7Rj|66{hUvLPY~*aww<# zRoC5%mzbLn6-9F?-~2WAO>HK4@_bgMq|1L_4oK13w!S&eUBQTjTjw=4%L7s&pYw&|doR_iPMP*}Bs8vNm2>;o-R z3Q3_{o65W&Dw6K#(0GcT-%*WjVqLX4yNGU6vcVVd3lQ^*iYM7{p{ZB42fuh#wrO;I z_2>W}O@s}{#s<6mHvA8oJ5``C{i$DMWV%>gsEHo7Rbma;09+2Ax>*+QrOpy^uqARj zDI&-_*9$w2(h*@wuEA!+1h5Ro&4lm;Fg>#PJicJK8mE+4i1IR#ExHXa__lL>$54;w zh5OLMtO_NKa^*+Ct9&BldVw`1*&gvXjeo8MXCCSMF3Zf_97m~lQnjj-QVE;+s|BPH z!zIKG9PQy1$ZywAr7*K~2U2CI^Kilxv0_G3!v>%Bis!&@$Pc#4S8~6oIt%!@Y6J|r zNgWWRq2T$t?)84-<5l=jv6`#sw3-+`x^b(cQKT%nb`|c}_`Y%M&N{XlGXuv+h0fok zsmUDiQ^Ut~(gK=Zx~d*!x{TSY5@sylalzCdc0n;k{DsgB*`_a*@s^6xRg{>dKH{mVp!-E2o*#Qg*d`m zQ5yRtPrwdi;qwnK5?qxX4nxd6ZA0b7%uvHWEK5{#ha#W4Nq1qZAs^trerjU_7WPvm zTR&7ao%VmZidH|Nv((qxZ7xPRu0h>%sGCwwXac4))b|H)RZ5C6vzXC@V5>EYptq`TC{(lMvmfr4hjm< zuWv&{!`@EJ`Zvx7V6vro36X~VmnVM5ZLrWd+_62dcy+SkJ*jpZA2;W}3|pvpNN-!L zTbs+KKpbDhX8KE*>v>%&Ouf4M3v9)_fQJ^O96Z{E0L`AH^`zR6^YP)50f zqU-+3VsHLgRisROmJmK^E;8(?i9b2~>DTEia`MKpS5$sE6469QtB3s+Zt0{dOcxybr8cxk=ij*|pF&VN57%XY2-{1Uu1 z<45g$53p8P#iryZg#t6v7&J7JrrcDAUK01QhQC#y@(vitJf^7n@D&ky+X zGO5^qRu9|e)J_iflU8q;RkbB?vu=|#z1UZDT|l?S3C%_OR}b3+e!lr3&sBN)Fa1W_ z6`7NkU4uS&HNMu=;MOaY0WT)C<^=63YPAK&_@(Jl1nrjvzB*fMUDSk~55Gy-Vges= zF>#EZpH-Pfn?Dtrtom^Jy1#`-B@3OSt*M=OiU%0NzmEK=MxOfLHf5N7|5Nn%{fGAB z8`w8$0G4|>)0fnSH4e7HoQSprB$dF}_f5-0TU*Z$l_yYE2KDbO-j%Mp$I5!R$jV)B&+1=+3MSs$Q?!#(d)pRYz;cKO$`iAFoE?=0ZlGRgPVK$P*x|V~n!SXtAQUPJ4%~V;S1eLKY`?ViIo1xf? z`MdH>u1aInPZEM9Z>9v>mu0A!i?l@bCtRl`*!N5P8UuWY5KC;NQZwwACA3J)$7BvJ zsYMxVM3BrMaNY)2?EDi6f_1Fx&j>4{1%UH#$x5}W#DC6fNCPeJfncqES?j2()N3Dt zBugCG^RcQNPA>IfkfvqP2JN3{YR{jsQT}y)G%vqyM0ovJz)_?9!M}t~l?6kykO}GS z>pwsMi}thvOGK6Om2A5CQ~u1c5?AGS`+m0E%mGJ)F&qZQETZZwa-Dg2^IAv zst@>uZfggGf?I-D^&9nan5=1nbJhrLgEObC-sAgD>&-fjsgJ)L6DQenh=`0$Vu!_I zIR-Y^bjyUK8`1VwqcH4mHYSmGDwU;aJMQwMDVx6+mE&3wavEBod9Lx?QD^ zWGuB_;r1lM_Vz9vzu?l#aFocFL-~sX!RrscMjRrbv5BdG<46M4y`<|*0#CZ;0@Z=8 zeme$Q!rg%SF$vjv_?&=mj}aQ7=;f0vaLq{Qgw=5{qcrWTU~n$MgXytoE)-TmxCsqv zoV;gxgl*R8w`cw9jpM)GSyEvyi`b4?|3XOSka^46 zC3fX2Iv_*nT#0ik|B?owwdsFYHB0zETPp$638ilD{Y?@iJ}ytFVhQ@sF8mybaUF(&Nkqzbjie**Hg*d83`YX$A1x}G4&wqMU%_7q!jXLfZd%+$!`Fc7NDKxaT9UhG$O+I*a8cTd@$pB}V-lvZ}lI|j_rhE%?6`;5La zac}NhLWI}nFH4k+Vd4H22j1%!CZKTMj*DVw6vJYY07~-5r+G?1Puh~R<4b?8Tf36C zb_H};(>w>GVFUTi2_~1%SUz5VVZG8U-fe=I; zAZlc(PVNdxQ(+NtnE^bz1;x=TBGi|Wf$_jIZYZuv5#i&3ZSMhGfWUj4DD+2y=mlJe zfcHdE=sN__4Y;@g-t$1A$K0`MU4RQ&@SX$|R|-Mot|J3OfoE<|Tpt9%yMYWOMCXU% zC=?OA%g8oDbT|~}4?!GmBHLnsXHY0k8G?Adg=~ujdV|4x)hP5|O)y3Q&&Z*;T}8yl zHDp@^@XP^<6IDbsd0^qa0Dk~@uLp&mham1OBUK48xuCdu2%^ayn==6T91irB0q-$` z_ils5R*_^VG#$8V1mNh7by-I4yJ9~<5VTMnVOwvYI2j1SXbY*D3Or+m;u=wCFDOm~ z>=X`^&z$2JbFEjl@sVwmGy8$d*!UG#SY91IEX`}^EPgLmedWiN`X=)79q>$xKn{Xf zT0;g#0?(YGxCIpYwHvm50B}JL-rFURBZxu31r>O2h(L}YIsg}3;JtbRIajRO2;eh; zf-n>ZRz&0y=%avVQc&D|0<2ABAR)R2fgAxA0YN(Oj0cMQtcW;VMYbga&*-4Ic}2wQ zHRQqoz+V)+N6=XWO)!Q4&j?%Fg&;nzAlov5XL?ZFJ_?=ghJ|AR{!-vQc_@w=f*4yv zsuC&|gW?FxZ3%EzkPE{AbAV?w zP~3zf!e|4jN?xC~e*K-WSoJ2~wzosb9_^X&%!7d%!&=1rq8u?zo+mL;d>Urr4;yY1@{(`IDXX8ms4k$aYy`#Rf;b zirGkUGDpF1G19o8^S1RvJGJ0bbZ7GvJqQ)|J7qlSLFbJ@kAkV}9-`vX$BNw|p=|o$ zcP9#6L%N^1Tsqynpi0yI{N;ULe}?q_V!P!O_WV(OnW1S=Pf}Oee+HtEtE0v4n^U9{ uI0^*fE6oGzi#+}u$+8&>??oMjkbvLQ$=xBrgx|_hC)_c|VpS|R)X5w`A64*QY z%6th41$dfN;5^Bt?C0 zBL2FTaYJj(`ekeKKcDg2zU(hwzdE>x6~_r;iH6_jw(zOvnip*19Dh|s53KJJB$Qb0 z8o^lJkB^UU9pTUnWOjNnN)~nIvM@!QmJC)IZn)K(G5Ln=QP}ELo0T(^Uz_pjOl-{2 zIrkR9N83tT3`146N{iiWDzJw$;(Yqz;|o{mZ|kN$dnl0m+^PU6ZC@^yqC9hR=UU1f(<-lSr@3ESOGozInZKU}5?mFA! zN<7}#oAL3~LD-eBGraSlg?{^*ZtL4;zqdpZ_H$^L2roUI+;DD6y!I1saLw=~rXLRP z!*s>rFEImgr9RAaxYA2ZZ`_(4y7i=NLeLN`lo093G{ALvGPQ7B7nxgeCq0>~aVIY_ z^>9g^%yqb=i%u_5#GRurP(Tw@)RdkV5#^`n6^cSB7dDaZD;GA96qO3=N#~Rb+ejOf z3*VAzl?uyA4+$swX*@y#o7PHL;Oq1N<+{`BH7aGNR}N~9l3oL;l#uYn%mYX8Vg83B zTw-3t1?>Fzj%1{yMn%OO-`g8IbBOjmM>30k$hxrHAp_> zxep(H4>cCwmJ{F8;#+^#{n-Az*ZT73dowuon&$al`xsGryFq_ro(bxbbuY?ir%WaCEJ*5`Sf zdLDW1P4*?F%1qyNVrzqghSg^dX3I#fNm{b4>Q=>OPVDUxMy9y}Ea5!?cM=vQA8ZY< zJfi+*p+E@0;GmIgE58zu{UId65x?e->$k1b#3U3+8T15%5t0`9?cMir z5(+Ch?j+hW^V79kqJb_D!jzprcoRgZAJ;qVfR4`1oz@nzLsd_rCW-bW*I);D;_}ZY zIQqX+;QT?+1FVN=)h?9H`zd+XB_J2zf0{LaGj+SHX&M+b;2ha@(nq4GVMH9sweKI- zfC5nDu=+Z8+e;FaCi(qPEg(FxD%{={Lgh-LDwA+9RXMutAvA<@#0>-Om*8v7#%uR@GAN;`(x0)5+6V-LyeSlKY@PV@(yiTZK^!lt)k>p^VZaFnT5&r=+WE8 z@#dE@1GBfmHZtMq(Q*OlRc4PvRi4|J2YJv`Cy7C8tg^^v^nY4H(I59Gr?=NNsapXS z6O9cl)n&|!L)RNYhx-CpGU3A3#+X$j&W(ret_#Y)OrojEa){#}RKfO)bBUqoTLWt{ z7la=-Oit3UqDMdTln-ioqUkj=YR-f~Fun5p>oWvFpJ|Iu;ubDx;8 z+r}zn+B>)qT$v>I-(I^DFkK#)symKzzNrV9F=fJ!XOq%Ql8>WH?x7lLgN4O(q5Yn(6ZZ`NaQSb_gYcR6w{Jpc%#{pfB^r0y+{z5vUiblKEG(H+yE_ifwS{GvpK#@l3GA%xNh)oApfp7+lOdWLYC)OnN z3T<7Jja72?cR!3eA^UX~um~{ZeyGtG>?9?vs&|z=d$L`k=Z$xfCuPE?a2XFIB3(}i zJ!f%;=6V>kUt+mktPB4U@Ou^60lf;| ze)ad~Ug@Gu6xgn^6-ZS6udX%pWNavFt%{DB=9|r@WPmJlpeJMBW)aCna zQ-t#!EA*g0s0ky@PvXRD9|1Qs4&duiY!cU!wC+w@;OF8pM27~!3G}r6cR+yI9WymB zO+I@2r%)iG5TP%##%h+Xp0 zkk?`y-c|vE%(!*WPmN5h2p_*~MlVI2a}9~UPZFs;=bk;$ME%SVE*>m8dFkrW+s^Z4 zv>>xPT0ecjs@)th^W-IrwvLs$ohAy@IoF>)V76I8_**@zLIZISknce<`@wSMTx8MG zhBbzjEZ#bL%#;MY=BIChnh$)l74c02^dP0;gcdMw@?CQ3lV95K^%86DlxPE_7^9!Z zrXm^8IKcNJMq3x*>Cw^KAc%iBEwBi(nD32=Ui)a7ud`WD+}qbta(@P6`;O`S0>1

we{zj6o4;;y}F-$W1Cak-#AksRH_m0g)2VJw6^5eCJy#wvuw9&%DKVPciJb|k2{&h>X2z;tkphSQx&qD%JEZXcehBjFiNUZ`GoSVHO-fvpQG?Pvc74Xrs$Adn%4(3;qUL) zwZE>?c4&i3R>2cQX4ghL8^jS>&uD#>2~XY+f+k_-&+9FmsV2@+@r{!}FoD9)?| zxt$FmR;q>Pgc!H#W|kgZV#wB!b=H=+!C9aDb8b7E%-OTaZ&{Vb7|Kb9eF0`j1v!wB zwVGlMa^%@H1BY$efzxJ@Y0U}~`L~WYW09(H{2lvTwW{pbdDY`S&K8@)dk!Fg&&MZ3 zOK~{@2X>*YfCH!k2LXY~q}xm=9C6yG$Tdnnwjgb)Fzf-(T%BUh+-%y|>ba8*O3ak4_Vv7Yad#HPwIq|Q&{G6_hP{AtrHc=QUXpTAKWWf9NL z51kKb?nJ@|1TLTV@s_Ve6pYHIMkH?flaBo%*BH+NgewGJBS!csdWF3BSPDY^CzQ!} zD4(A`j3_BT8f251?Vl=7yh)+y06*}GG}bdsRw_z8AnoXO9;s)bt^wR3LB+_Q?6(2X zEni!d`43I}BI12@?6>2m^}@2@I(ljV-XyRW`53k*kk(e2-El#WVR-|ZnGOu0HCewh{xd~il>KY6lERj(QXiO>I9M#chj zJ6vOEFuU}fwPWFF;qJkvXbfR%npujp;~f1y$;Y7K5!>QZ3RE zb3qgw;yOyO$&5Y5j)Y+Q=1gk@{Ix3FE9OT$j5(KJ5s)E48flHhZ<9X!bS-plpYfQ^Wm=cqmEMw z0JS3?%QXt2yCgpT-U@uL(C}A!K##Iy0Ez~QFWwwrwsr1Am(xZ5w`!YB*4q-qNs0v|NrswbO)XF!;sk)U$ZUi&9|(Fo_WNck+iUXcv)2r zD5o-#Olv7Wk|S}$nE=Edowm?)(EZ~2z76vxZ+CKsn$?BdYq#fL1gHxXM*zLr`C=;q zDHWtfU!++$uVK|*=z$dE>8jlPllUpzbWbl z$R-_|X7VcfQ_DbZ9OZ}Aa`y#yM6A6pgTAF3o?M((y9|NC2u^63Z_qsd$ML+Enafvl z0RB!x4<3=7SP#@`T(UR$nmx@FRJMW4^&^K(oKCE)#Kr&LG&*KzF=AzkbW6YIkCZ?Y z(^mL4z%u|9uPk&NY(L`kG%w^=QjKsb+bM0m^<%nkc4XTGJ_Osm@edLp1r^(L6G0OJ z5Xv)XEZ;E{eEtOS4olU+Jcct2s?3<(d!DLLuHEwn1^m!| z7ft}2w7>gwuWm>vNO&(QkPn(3MP`axf3nYe1U-W-cDL*V?tclGq-!#WOyjlaYG>Km|F&UsMJF6^XYa{7RVi zV5cMP*Z+J_m>?nLo5@Mbex;9Rf);&lI2g}Jz7e;o`vUg5k`bKwi>?CT_jkIK>S_9t zLnI&AR)E{UG;0~UhT{8w>Av)92CW>h)Xayg@Bds#Zo7K^dB@TdxGEUvVEZIh{cCzO@SOSVW!As+RQP}F`pI5=I~CwLnefq+M_|qc z+N2p(1JpaV1I!nFA|zwMpKN2Y{tw5L+yh{iAu;N@bUPvmu_(oggguJPxDeW|_?G#| ztVUuHwe7EuB*O+)Meqv_o}nKj~_qM zsBV=B`k2N?Vr1Z==&}6iN=aJ|8nx+ZSIO6(Q!mN-kT4vp2^2xC@A&E-0_boqTu)Cm4BEv z_;-do{}_52{INXzyY1}%SRVL&S^1CUZ@({_{jQA*U|9XXv+bjQEdMe3cKKr&OjBJl z1ukyFSY+6WM3EEQ^v9mZ_V(x7^^jSMyFugAO>5qxbGP*Se@3t-W@+Aw3nhkNDs`BB z=k4W33~@9Fm8_;`Wkz?;vcrz^F9&aU%%caeLsZ75XJM@Y24Et$MUHwNdR7`4aJ@Vh zG^n*ZYLyyypUnE+S_5VouJX>B-vEeo&yFm3qWkiRF6Z!PT@modL;X4ssFibO%3)fQ4ty_=A8V8hSu5|>drLOVQ@nG?{N@M^yh`hu=0O|U%>!4QxeZw&N<5=3KpTH8* zL*_2|r4Ybphg~o>H_KzK;1`j?hb}<2IA8hv{n#H5ZT|I;x8$K`$&p`Igx93^|9(#H zv62km?~hmL18xDTGNT^?^=}&5Y4(C|x?3g$j@pbIMZ#*Lpj2DXM(LhAIxQfG$&a5Z zrePYGI7THPLzLI0`-@(`vQr^*b#p3obMmf(Hzm`+xM=6}H~M343L;d5JhbigbF)K3 zQ2KVWEiFhPe`%@KfH{qA0pqs)pboUzD;>2HyZ88~{ncBT1r5-{krS0w|xxhW4J zqb=}#s5^IJ7t(bB1_ru8Vn=Qsbx2$52u6LnI52Ho?|ld4VBM;wSSz4)FxCO)Jum}7 zQyiwJ6cSK7?)MY4xb6;7sr?%PElJj3B-ErnlIJ9P3BaME1n8qbdIyxKNM_tkJ3oQc zEP<>^hVlXFGCzQlYuJqSSUY}7?_D}`bNP%)#tLU{8YTY3zJ=v8%B@9|D*?m`eKX+u zdw_w7mST)#Y|#Qr5kMZ`kj-yrp0b-Er7$q_l=6&`JHF1`3=c~S-q|E-anT~5adr08 zXsCY<*$-6uWl1!=Fck#Jf#wX|3FEwDrg;aRYojRUl5vV}p4qMApS}djHoa#2M91nf zj9)s8oRLd)MgwCHf89jj0(*}q4W#Vw9eoQ-DsV{RM4&&!^4b`ipz@}9Zg8FGIa9!R^Wn7mR zb0O}eH&Y&W(u+A4m*mYr_hsj!Z;Pf1~r7D7ni&EPd zDi5$}{}RT1na6MhU#1yuk>BGKl!207IqABR-UregrB*sASgEy^WT4dgo@B4w+DcMZ zZtWtSS8i=1X({tuE8@?%;>}%B?QrRDd*q$LOnb9 zhVHiC>>pOS4SzMm9u%0#Fc|9$T;)tw#@MFsAp(kaRGGDI=dV5;U3Xyaz!lx|Co(4G z%Xgh) z<)GlWgxRpn2L=R;?T!y9rNy{uXS8)ez@=OK>XsYgHcurrEuO0XXl$XjmIA^vC2r>P;*R6Hl|x2BmKTv(dKAl&QZ|Zr{~^m zid}bOF6UOjp_iBVrpEajv!?UAGZ^gGd`~2e@}A#1o*%o~Dq|lY42Er1ar*{q<=*Zp zew74LaJdqd4V z7uguSDkSgcvH_Lxx+6Ls#|R6bXrNr-?q_g{(Do?!nomR(1+bK76;inJY9U$Wsd;@I(n9Fj_}N0?Dm~^ zbFL1=EjA%}%E!CDg-J9D2VuSMM%JKY8sc#HPBuY})JH*B*#bFOB0~wPCpfx~eM=YV z@2Eb`W{+Lv+4!JaD)$#c8OIHu&gQ+Fza=PL26_f#0o;v;E+YG@Zs~#%OO5cPRpl=9 zx|+{nf{f(Is5P=Nye350i4AQR;-TlMTP;z^$M4%T>av4g2REcGQ^t&ys44HpRAB{j z!T&je7nRtw4Q0Ad^ zsE||Zq!3eG%K9&4LU?a_8a#myj@xr@;-q{)+tu&31tuQHG`Wq(CuAttG~FO_I;}xI z-h!SjU%q#A(9Eh;dEu8u*m%`3HSrI%GN8oDpQSf8%#+u0>`;!ZYu zXHco7VR{v}{O+}5T9`7!80_mFHoCw=yJAOTpKl8Ugh{cf2s3UmH%*J zwan}>Kv;v~(7d&dumPy%1Kpx$AM5ljp2SVXEGyq)c|I}9(r}0xlw)VO-@E;mu4&tm z#T)d}P~LiPK`@R50gSR)AKWUuhuU{!@wp0J`NsydTk_@O-@D4*zMdG1&)gq7|4hKP z=V~tJa_66E%g0xs>JA3#OQUBHY;~;RT)rV)-3R3D&a0i;R5RYoG%k2YQAq=O4@fKqgJWgN3CgP zU(NTG#G3DJQM9d@%d*N-7i2vP`#u;(`zIyT26Omk%O>N?TlX-Jrno)^`R{F@@?+M+ zTUKZNX$}o?&h8f7+D^u=WV`nTxtDutrOw&f1Fya71jeE6oZ^Q#&;=k!+e`gcA!;F&kayum~vZ+COy&eUZ#>Ij<5w8#OF;zS0r zc?Z4LC{qnwA3s5rJe*v;GrZC58WFv8jf9uynW8x2KK z^+qF5SiRBfD2Co>6v{zwGz3M_)9oTzD)Y)oL?zxE(v-4)3#mZa{}ZW2*}sVttL)!E zVk!GKlTwuZKa#4H{p(0LC4U-8N=e}>t%T4qOiLtme50Kwbo9_H2$2_=0k}*br&lN& zJ>5D|t#V-_DMGoBMv_+2qm#Uq6nbcCxOy+<0^9*_rX22o*JCP5q%_b=8zCsMXgUO? zue2qEaWCd3+#(<5Dco!QkMBt$<<=HbiE`^FQm693AZ;UIh)G*SaA(oB5!}Dhk}u31 zu-8X>LOPRni;(_>HcHTB(Q*iyy|j-6VHF9| zAJxX)^>Q-D-M#4Ki4zad&JZ*QY3c;cAsSX`fJrO55LD7jYbO+crQIhKchlH};yzj$ zp}2=uODJa2A_&D_Xk&z3ELtvMS1;`oVb@n$G+|dat(Oq#%hbWC_%N5?RD7AMa4MIW zvvJ@2obDjM_R2zFK>_lUuM`j)UPUOBa<})jmo*)OH9+g{2^~yY6yXG$wiW3AhU+`# zD$dmB-2y-U((M3HLZOc)PdM?7=1e&8l@>$zzEN(X zdv)dlj-FNA!^}Dpy8qe& ziR|qJpR@Av;PZCYOU)gxw#~aU;4vunpWU1M$ucib^~}deK+g3#Ru^vB19x1*e4a1* zxep#GXlrA@=^2TO{8ySgF08qR)fM?-+*a^U`HfqmqvpCP9()QfS}@)OJODX#q zLFlNhYo*1s@pfKz;6q0vL==f=s!?RznML}_V|G7xY@ZNb)aSb{953rd=t_57*c}IF z8N$UMbQbYZXVVRBzvG@lr|b0Trx%`B!gl=0unU*EEhuP(829nm^_(xn{jmLa=m?i9 zC;WL7@fy70O%>R z^~`6fk*J?+JpD#-{sJ3)_f+Pp0)J>w{iU`qky9gAvD+_<7+6tj9x{ySriI zANn6I?vGeuRC_we67HnSWh!0k?m^YFrAM4Qy~UO8jJ#HnCFW@#aYl$z?>g_`>zAlWWp} zQNgOw2W)VIRB!|KVR{ZU86y7lpm!}2TW&(im6}hdiDUYcSjooIsl^JHIu=dSw8(~D z$p+r&d;tMBb{luNDQuP1^V%?~fv5pHPwaPv$ao7QjKN+X;8~Y0{p6A~I>+mU!RTgW zAH0AMS&feb_D^rb_fxDJq;QWQbwqaGskcS_*DF3u9}b+8@ddU#=IHvCuW0Vr#HFJ9 z;3}P}tgAeUO0jdsq4~;Vh7!e4pAoYhZotxIRm3k6y}>U(zzUb@7Ebe?l-i-_Eu2+{ zm@rDb@C)qo-4loSd{aL~g#}f1E76O0z#!K(^<(A4Q&)*136)3i)3fK?nt6*@7hMTY zf^D8c(nHi*4E@x}6*9_Wi2Y)k9OQ`wz_)C_9hT3zq)Ky0)&cTta0HA(rL z+XcaceBX!z*(Xl97s3?uiEe zD=(L9c^8^gOjw(B&%+j9BK9m4)!$Rie53S2YIH4`fo9iM^;4IR(CbkqAL}W1f`^3f z`@$GzjzQ{$zGT%&_R}hSm8k5qlc>;u?PFX?y<_yn=1x#$Ez6 z-ogOu)a&ZPgpd3O*}TM(E!Xg{`h(agZss+v=_vbgse!e4!8pmF0P&5U`@dU4=Gt3b1KGVqbDRi9${D#T3-#zj{RSiQ4`;Em;CZE&Y8Rv97~QsF2eWhGCw+Jr1D zaAn&^aEJdkAa$q>zy$}fufLVEt)y4;S~|w;>>??OAH>5AVzbiQTzLDn?el_PZW`S# zk@CHVpJUevIRQMiNd`BXjV|?2$M_PN<=-encV1={_KryjsnX{=R)`jxJ35Q*N2%&( z>8SL&szg%E^7oBvFMz+9h~57I$LfT`xv3DQ$6Jj+ROfMk$XN~Fs%}$Y6TGR{F8)(Z zOvwxJrFVSq+07KQMf;m6@M&RElmTrOu&h_%V;EDxi|M*|UFsAPg}SgEpYQ1Juf}Hu z0nj3~hG(EP8SK%cG(g?~t*plC_}1{Nny&`GfQtVPP}j2{s}j{V+PtYU*dzwYxemXN zNQ{C#|9e;bp99);e;=_S>>Li{^>Gh0d|wGxI#pH0_`+S$yNR5;S|E5BMvwl^$b4rk zaj=PONdF)2H--PXf~1kBZ3i7N7W$eBGeJDIYJ@W`+=B_YNWPIJnq}EXHCPNkTMQpB z$C8($dy5LEq$Zt8L`$wmEC$dfZ4IWRAuCV~W21&4?OGR3zZynA9Y$B{4|fVhV>*{m zC^~R`7;ih-HUB%e_!jT{g1##uI#^?`K_`6Ca#8+2d^i{slkI!?41Si>XyB$%eR3{( ztbH*TmUY*R@X-YJ8%=wXg`L!-pVu+HP|ghpQ8wv3W|t=@iqgT~R5J}#mr*Zt*Tt8X ziBmL2UDZGd_@ps%?i+8|_GO@wkg{Bi^QtU|qjkRJ(owRQ5 zTC!j@ThQ{^VQSB!#1P>vKwjuJ(94=zCqsE4vurmOmvdg1YH;mUT__iSi)-pNj4lUh#p+>6r#G(_1wV8i$ z3y8x?kW=+x7y8_t$~<)}gG{*W%_zCNZ^WHU_WCS3FP)PL#u zH(#4vwT9O^r#D6a%w?4xP_mpy-dEDy!sxPLbUVO|s(bfH_LPT5C}zb~F``s)^`wVE zcBWF;m^Z6ecmgf8n#J1oma8Wfe0fukYW3IX5l7bYN9@VsB&-n`dT)TgU|5t=DIV|` zqNwxe<*>dEei;rfSqQ>pivsr13+%v+twN)50B&Qx;))b>82Px8=mxPPxN@OFL&OPJT;0Q#(|CX@bGRUSH zPtx9O?=v`Vgx&iS4>Jth>Picgw&1dNVRRpdXDU^?bxAVVjMOvPi3CnHN z31i{wGOF|!2Xu;MkKgi2`ahAB;oCUUiIfl`#eWG!`h)laD22GyBdyZIm}R{zCy?S! zCPk_S7WHCYK>rTmyy73j&|7ZyxiFSG7UX~TJK;3n7ohPY5lw6yv%v(0pUGUcP0^q-5d%FYmCO093``IVeY~#nbz1G7Ml$eIB22T`G zgJMC@&Nsp*MD}JmHV{cCG!>}tPQj;@=43_n_5#aAcWXM$l8=nZUxawk|uksSQ@EE!=0vm;#Ghrt-g@= zpC0eEAWSRlE(gU2iVEd=eJ$#Ah-XAd(h$=63S@4pYMc@2&Gx+IG>H%EI|&`Q%Gh^W zlpXAg-fr1UB&1?jvMpWHu_U49GpbCFx7?YFD|--hTkd+K+|``>eNA7L8RkyE2S^Iu zdPj|dl8l4YTel7{sy_ISor+pXlt5PT`^lC`Au)mk3*LgPkTe8mRTKxSwiV27TVAcD z<98RJfRVyw!%0iT@B$bWXW%gD^OCB?=WOo}XHuhuLs7535p>@z4n?#@b;k#)_PWjz z<_gA9dLGGCfB{s~sdK(Kdi;o`d?-+MBsXw374&vq6UMOh=jC+-A#Xl!X*J1QOvD8d zLPXK0fl~`SK}=7c7*cEypGQ*A6l_x@9|NWIVz#7t!UebsYy#{h%{aqN*VP7i-&e@n`3c8L%^6KQaBWI3o4JW>?Z zVKF5;j4nj##NPTW-w+4OhYQn^6GGX2oGQ)y8~BG(3S%m*<5zs#vkXwFJncNGbTcpu&}ipM_B zoa&)*%(_TS*SidTjS1Kk9)F{kR5qz!yZeGPqo%E;LTFl20qA?IW>4u~-q_dkedK)P z7$C=mwI)*(PZNry{8g&`$o#Zk;rOk~7?$^U6#4S53j-{-1ggQxZ3jYN(ysOXm~SyJ ziu4EDy}zgzUM4$qez6}vVU6Kja_H9JZm|QJtm38T>7$ZE)7DkuiBMTGG;$)#7Td`=GFGlfU?abvu2u zsEs8fzUn`MN^g)}MIfEn)=I&K7xR@yDAFTDW?eNO+F4oAyw-d35N@={DOlkOGguX~ z@h=0Q%exFtvdEdzo{gWlAv4EnBl%OWAct>}sMDQ<>@50mh+Wl z)4=iK1}3IOi|Eatu?aV~wFRe=f;PlCh~Eem3}WxlHw0_MLCZrr18*}FS5X0E(S&k% zQ=a{a(!Ct-aceYn-*~||Z+k7r?l!}h;NuOfz1^*wSF)hTS3Q%Oc!ljjD&AJ@A+Z0j z{}>i}%Lh6YGNox8%MXzB16aLv+W-|q<7CpZ({6{7cIm`Xq1~gFSE2|=UUu$9-KXaE z7W84Tq_0yMG*0_siWb0}7w(N)dea$}DC(LwFyT9vJS+(~kcRFvV@oJQ z|J04Ro=PzG+JbjDc-LX+sj0I{*oxMXybi&nAhO(5Q^Om znytyl5U6(l4G1^`n>d8sut%tv$c(5KzrjO7{Y;1bq3l_t6Kkupl4zU@G>-Ib2B}!1 znxm?NQN05DCaoi@t`@^#0ZYF?pqsCr42Qkna5S><88`7rYd8}39@YwmK7AYubSq!| z`ocT5Ue+4ki2k)HoxTTib!0$;fW|_mc)}ihCUI8;Qz~3UvCh5D1;!u(G{1{>V6+9r;bYhDJ4QwsC#dUfYh`Tl!RL+h1c6MJ(F%%h(k8NFw6J5b z=mKYx-ai6{S?29XV)i`-5hoO&#H65&aq#f#asL+#h=6#&aFuV?ungw zBALh{!2`!R{Y0jJHUEPYFL+_iP$Zt zXJ*Y`1iB)NL`tjpu|EHal-H?EeMGYZvE!E30!ku$Qrf?B>C}~l<)YK1U8;e^95G`# zFIa9=u!VURO)=}^L>$aQjRyV&I+es|acz>!>x*PYpkpUjQEa&oflTS5=s>)~wO8pO zJkAoPIobjAP<{2BL>-7T3(g9KNkfSVaQ+n3rUcEa^h2}EMg>cUum|3VnZ`_8G{tgK z!`I=WQ>^&J9i}UmTO!?tRT?HbF&>Mc(=c}4u)~S~a7g@!r{cKkB4t-F#B<$W30bpj z_Aww=PSuJSW=q!!)%z%{DFAS-o5MY1m@LE3lq6|MicoD87i_3x{QJGE>(i3zMDj?> z$FU7X)aTl5B^!FYw}Lv4ujY0ti&_*#+h>8U({3{{%N@#@*QRV>lSsEyjUTccnsSYO zK%6YwxvW~7%=5-ECl2((=elv|;Y4j`UWw`?4)?Zl;Iji#n?&wGN>?T)uF1HrDkXIU z$*O|$1?$Uwj+C?30Sv2+9yvQWfvV*UU&OoF49R3xiq*&{GOs-|4ac&$j9Fa-JN`53 zvUHr0*iSW^H0z7?q;V(*UDilu2BZ4z*n;t~<*mZtZG1n+agIFz@hb7-^w%pG4V-!0 zz-!LOxxx~?(LfL8)Duu&2>VCdyQG5_RK5@`G9bsU9%ihZuza`DQ?8zZcp~0~%CMX5 zPu+lYUUj-fFT58<7U zVADqc{_d5gP=^cW;l2o~6%c2ASS_A%dkM+EgwFyHqF61wxTyYq$rU9#6;P|@k|*Fb zN>H95K@`5j)GM6HuV48ByFe#D*ae_~uTVa

uyRmkUSM0{5){6cC)!x2mI;x~Tq> zsTUeQ%e!6b6*bLTGgo9jDI8P;N+Za$lTUB-DaobZ8jczVjC0>6F`0B)nTeUze2 z;%$}O2=!!(E;@&1T%^(XSk$lsH6-vv80`WR*|RVl5UT>6bJttAw@B@|GQ>*yO! z2MHB>d$&ds&GIcOar+nyr>QDmQ^9g00mDRq(t94lv23p3UtT-v{SO$#%Bg2^r2yhr~T1*;HNOvv2#J-{T(NtktARDF>79sglzwR5!i z+@Q!dAFJ>Wyu56s05hsdHdqSYO^K3Hv5Ns(#(M>E6$hbfV!K%)fTNn2Q!DQ=vaUdZ zZ>VM}u^o`jbx-OF{ug_$Lba8-=qbTt8$>MUo}3@dodUJzKd!kEnQrwg*d=gCT=tsK8j^;30w)+I-imIE!=+FP78n|u;eg3|a zyWu>XD7=Kqeh%Ep7iv8H9a`L`T0JHUz@NQ&a*$zyUlf@x;6<1_X z??I0oYbPaa(1U!m$k>1jb11A+jF>3mkhp`GojGw;M)aW+^s4<-<<6X8 zOVxR&burtFMg4^uK`MI-oWz}rSsZ|7&T_KBi?W;%l0CL_3A=693Cg!9_9s#?Mgj7B z6daLU7YJUQrd#m+m7jQu&)^gmTP0#2I`kBr+c>&#H(*czKIbE1w6htr*MIS`E z;KKMoFH%c?t$x4r~VQ;sDKB7DU80rW#3^X$qTVaZAD1YQOF1xQLUDV<~@2gmiC0su+J{_ zh*;4P2D1HgH1%#d7bCBCX$#25+t-X`CHgV`stPUW=59g~JgOa{-Vjagrx7WqG|0WO z@R|vbLewzqN1{id9aXva5?a9LXh)8meL=m&)O)|HG)FY$`W+jUq2oh_;y1gHwz=2Qi$O`aE{>{i9}5z%GKcY3 zgxsaP&UrlL>fC7Vwh2CHW3sETFe5GDR^(Rv>Hbi zKA#k+sj6$k<>IFcdyv-{ytrY@r+WllWn7*{r6roPf0X)!s*2Xf?wB*mKceIyl&V@z z5^mKiy*Jx`iE_*L%d9I-L0hJT2KqgCg~}~9}^x~`$@GIOevo$IQZgW1#W>ZC`sQRL+*?`xk{EIc+a4E zU~oIqGxXMGEMau&%nMz$h*Yc>M@{R5=zj_BUf-ZbRge4Xx()IR6|2yQWi+DM1#%t8 zCA>15hOEt}f<)IwR7#9;Ho;D5i57=!kcVhK8Ec5L1b zXlaQ}49D($S62MjsrvsMuuMNyudQmf8*)5Q%i3%ZKRk@x%X6$z7;O6o)azVR^34@ zRrPXO3tnvctzj|RlNlaYf&(o<(_l79m+CIIk?CM;T`}cnGPe_<&#zY+eYrXt3$cw1^yfjJaslJuFDDRW_XmsT%GJ zZm5!iUe^dutgFtlKZSj*9Y3|tbqZ%W$fM%PRB1`dtv=M_p}WxXq0Z9L>#Z9ad7f z=(y2WMKV7-iT@j%gyV*YF8fCPcsZ55`bzkiNxHC~kz>h11ZS2)8IxR>75x6w%+GE2 zDC&BCAE~V)7VHrdf4pPB+V`+LnWwFf7s;`$J^EK%0aE~*v|X5cjZ>VAs;sYbiracy zG_g`;!VUMJyOqMF)vIee)2jO@%|cCzLwo@Ip@U^ZFe6a34e=8d!q3ac9MJ-_AW$DJ z&>W=R;Df{yM{Rjm%EK`seNYW84-936O*s01)VNz8Rd`Alf1zSZs;tTz{OqJiW0J8) z5Nj;j4#t0s)x*$oyq`&28VLW&n)nYowp5i+vB`tpVB|L=x}wRVrW{LaB4tQS8WO!* z_DL7MUleRl?v+juuM36>N$?fxJ+W0kMWhRiBN!RMRTQ+6fQsbYIdg0VeHRzU0Pg+gf^`j;5`O|abSSAbw@P?ylbJvH>xHKLGw;IC>SgcrPtbGSjWaihvn6v-5r!!HTM%UxfCIy%NUubiMMvmESO=dzD7 z`KC{JEo*p~TKW`;f{ePEu4sluB}mw3_Bv2<$L3fBi)aE#1A(Rv{m;6 z2g*31RreEQG)}=gWd?}|yuUMq4LuD9%&xg)Bm#_|gV-bXww%Vsmnw`HmStX*U#sY0 zt9WATkOTcKzd^cp2f5ddn6J zt0<&g%bg{a+uNn9avLe$k`NKLom4_D%O#h@DoJvgOLEI5VJS?KYb+*npPAjabAG4K z_xI0g+u7^-ygZ)I=i~J5e~sM8mMcp<<*_D`_7ZiFd8H5-5u9BD-;7cf-m4;V$b?cHE?)@t(lYsm-zei@ z=wHu=D4b3KN3#;BNLoOpe>Av)8f*g>)CN$S;3)6HZS=qZ|9gzM9E~<&GZ=5yL^qaM zh#`t-W3>&dRXo7Bs@p~$0kEgT@z9qvLJbXC6ws!z z(C29@;KKyuY6V^ABL7|Hr}v;n#IiUIZoP66D}i(sXKKkwPe<#TT6xK{ZePF&u%8-# z)`)2@t745fgajXGZ&yL4c;WFdvff%lWV$1e`gDX42^RcfK)L;7w*@icdQr)nH;s7Y zu||48B(|cI0$gYYdMb5YmWK$3xT0>3#!(E|-Rt7?fA4QDFSymJb164d#az*FQCbIh zJmYv5n{e?ZwQ!vwlJh-Xq&@<`XG}g4* zEehF;WR-%o5RMSH$g5EpHI}2d8k6}i7RY~BTq4Z3# z-Y=IK5MfD;gR_OtVxea}Nb&(Bw_Q2Lw32oD_Jts9b}s{tN``*%^9u0K?ukF?f1#X* z-9NhSI8tLybaR8Tp4;^pCm7H=XDHxXkWWNi9 z39D>1B?Lmv$}4p1+B$UybpnC1gW_1<(mlc}K1@8b%afEeeX~n^oxC4dGFEQ(Q++(WtBY4*jTw6|1T+4u3I-^gp?V+hBf|1z z-69Nv`=F-<${)bt^M!oW2pE)JGu;{m=a6b>5?gxu#kL&m!$kpQxsRGfLX2-jnSqce=O8g(e~uKGDXQaY=j8Ef7ah?#mOxE0m9!!$2#{HPdF!*4cE&gL zC8V_Q)j~CLY@M*yuJUIa0~a_qlu*k$pDAQ0{7=(tdoWONBqqXvg#36M`E7`DgNjEh z^fo`mWV;M<|742SdF!H@z^J2!X^cZ$UI4>IEKf$3{Z22U#IB!Rneiy&A&WCGk zG5ztV;4eq(T-7MQag=W3PbPWk6JH7M-z7c4xD66vXHb6!La9>U5V3vzT(=Q^*&^2| zQz*QCh|4~1jvV+!F1dmo<)_a!=@7URIg5ltJ`e|0o{sZ{9oG8m$r42K-E>6dC(t)w z5piI|^a?3I2AnJWh5TS$172ScGE9IvWQ6BK%x&1GaL7N2q-(cvs1Mi+vEl>Cj4fT@ zT=rqwSU*?ed<^zDmyf>*BDe9caD=304_0l&#-OQ$2QQD4|o0M2{DTRUx`?nQV;PbL3sw*sRlG2s;=q9(4VHJ;r88xDVl0 zdB@nG!5ID+TkyS~LUCReCX88WQb;$&6Dlmf^Am{7aY(-yLG_E)ETERPKt*iPSu{$5 z5%pgS>E+F+v*$Bg@FrvU$@k8@W)sj`M~L}V`h$ez*MJY}=2P2LZ(tS) z2SyN5E7vWncEiX#JdF48xuKje;VN)hMtC0P&>`)Y5g$Bo3T=Q@TXdzJPHr~>283>{ zpej6tMKN|n{Ou=bRn^EK75o~)-vn|CNHN7XLw`4)?P7`zx_JM!fZm{prPIZy)#`sD zCOCV_50TXPp|{1ti0+W@;jw%R>i#Y!{c9C;r1C7`;lfb5g+?_=wGx051xx>fU+473 zV5}mZi!E{tdI`t9=2{gQvD@kVFcJ=i%FT`dMN3;=NfqM{VikH5cSb6Lshq$~{NqhQz6(5T4vrZnQJq$x9gFLhtjKoTTtp!_ zEPf-K1cQ#==Zh}pz9{|<4q3(*P_`HLI9%CeL}vET6Y=6SQ&`031BL?LtWl%h_Y(f_ z&o7BtKbKwd;qwhg#e&J{LVOQKlvRMV+PyG=%O#EZf@#wpUHtfpDl9VDK-*WGIBnP^ zsD@)LSsw^d1slohETE1Iz?vX)h|B!>i=q1ki$?`xFU3O9k(dSr4n?in_Lnk@bOJPl zF3m))N+)zg5iV38G{ycmFEp;<*OwAxxwcTY*ec)}4A6yp+yw%wVz|}=VLl~&p%mzC zs_Y26rXCW~L#bJ^?Rjkl9lwM17g7pBMM#Z7gs>n$9dJy8@HP=2PJt7TMXA%fyZA*V zKEUw-K#mQU&k#JB6kLxh6gluWleFP$FX~?=LpQgZs{wDQi8+w|2X_C#w*iPVO(f+F z;E}m4sHs2G;IkV5$+(ej8wqX6CiT-aul*G{! zc}t18+hJnqqu1h}-uO|uNQH+G0%q)vn(z7Av|>L%-Nv?kfmK(qD}=(fRDQF32%@CK zh^~qZ!3P3*J7*|fZ0DWFgZ7E2TiJwDV!KGEDXzvU)_nkyfE~F75oUo{e<3ZL^_PM( zUVH6D3URAWt~1VSHtIR{ogsBX7FQ3e@IV{|lRP-iX*C?24H%MYU|fV%Cm#YC$voKe z2{(!rx(FFO_8GCZDo7I0qv&3aHJ8 zBn#4&)89k713?dhz5rL1ZC4wo1*^7SAQc}d1}+r^Btg(gY{VxK^bedFNX|KkAPU#9 z|9=eRsLl_d42gE~$0WlNr)Q^m4Wsl2_$|Vn+l$h^eE!QKq}I$rIbpKx1`WMCyOOu( z*XVd|5F2TX{zo23|M;QYfY`i|QxuI~_;fKzyfd9-bJiaTQ@M2=R=L;K#=_nLaxf2X z;>!8Khi*H#nDior&p4Qx(GK}NhpMRCS1>k^8+ebGjnVm(6QtHD3xB)pacK_~lNt%c zTkFL(UmlIRez=B4F}{gy7hpFGa_vC1IoLUXp>Wi?l)sHOCCft|g$Z(gU7`<1W;*81 z&I~1ed1)`CoIqQ_=Q1MW@~$?bZ$tf=xujRZ$h$~Nw>=hP74Fo-y=zPEuI;$}h5JVw zz#o_5kI3@(bQSCr{^-8X)%dMq=C@KL; zBhe=F^!NPA#ajJUVAD-yX(a4GPCgZ!l4o;3D8kbT26Rh$j99_$}?&Y=H2J zDf=nm&hLP~ARfZ}%MsF#5X1L{=$uA#&!E-#c5>9PL_$kZ#F-R^U2jf1S8u?4JJS@w zOqyP`hbEG-$uqlpjTO|HOpv8p^^P+DE`yi(ITl zYK_4)#Bm9+R1vP%8aiELG(ytFF@Nr1+rmO?evs{Ux}`eTk4_dSce!M+!wd(SCBmoQ zC`!Qv>1iMmxXDn50GrUX6H1g5FhjRwTA-LcGkX0AO&6}cdG4j=bU;>%6r)oRH5r>S zeWZ)GAo=IIa0rtr3Yuqr|HY!+U4vY55dm!$JG!DXMJDUn92@kb16JwJjq<3(R-Tm{ zO&9^s^wz~cyh6!f?Qeu^RVrH#lB0^!=JY? zwtu5$iam^{$%I_m8*-R~I?8D(++dY;yBisM6-=6T=n{SJr!^m(skhX}>X2cIDMzRf ztMk)xmYNDP;Lyk`c!3(x`~YzXu0o3rCWRn|#fs$1G9Pe%B)SBH{j_{97`O)M-siR8 zc&BTE`=Jj|^k%=%EA9Fjx`$|=Iq8_QB;9%2+ePi*&0GaAi9cD8WGAe=33hWB8bzz5 zD#?#&k!Nlv8~owjx~S~tmCE*YE0;}2n=u)oYZRFNdm3g^l>~X=-#uoWfe%a0Qf1(A zS!j2WWd8=S#nD6oq6_qknO-XUf`j(`t=nHgAju$2a{#%Ot=4$w9MYT!Ctnat+lMA+ zA9(e-z-^BJs05au_SC-LrqA)o)6TQP8m-^q<+@Z~Oy0%?M|CNUg>SDEA()$P^(gXG zjh_LCYU7SgQa5#@(nYVjqtQeCTtuW-h4xhJt|_H1EPNIAX*86|BR+yz&SmOE#(rX5 zBI810h`O%hl)3Jdl8VCW-R~2@9=l(`8+Q|8>d#ONt8J_9=ww)Ch?&P{pU<|?(1>Ub z_&Yol9k%Iegwd2@*HeAYR{<5vJ-+qp@SRaO?k~eHA(uaciwd*3>jY}1s2Wm}!jk(2 z`sE3-m3oHKL9izgjNTKQb-l{@bdBw85qn+dpCm;a4Ij+o*Qp~l;K-in9hTe#io~el z6}*Q)#Fm&6>=Q(J!Mt~*^HjL>s#=q7B3DKwe>$O{o}|jJmVnD_N(Wg1b#x>QkFlvd zi${u}f>^nWX%9}sF`G9`v3A2n!JZ6Jt_qE-H9hq13&Cy~pF-8u$KqOfmJ&4M3=ii? zTK(3kn4JP2C7Abwa_*#H5*clY3`IEO`oUN;A5mh9M#0PHN8S;)nAgs=;f>2Omma_? zIb?4^90C2da1*8KY=}co2X98`OIW*S|oh1DYRWJz6LI&vS8}2XhQEfHThbk z81v5}5hvy!7>4-_y{6f07e^$?ZHb+O(g%Je>l63S#Mu$??e%O?uv6+dZFLLG1%iW% zPkJfTZHbI}c&FX|oeHAg329dx*$tCgbv0;QlN73@9+uF`OT89+8%snkrhVdhDZ)#_ zg_mS#Lc2+ADpmy+p9y<3l*F5ew}OvRD0f9=@(L4q%MW?p$?&n0A$6ig>#{~7V?Epj z;(0qQe+jw58y_{SIx}`>)=qV_U5JbI;R3^I6R8%7)8+Oe1{o|`6mJ{8l#L~J)?<8& zbki~qG`iddIu&N|f2wR_c3@B49(fIo`c0%2%5>8=Qt^wV=1)+K&=8K=wteBCa4#fC z;=MzpfYd+Aissh^^fuAT22MzsAM`)k%H-WO%B{D+K^SuqUV}T|0{UqooDQawI_}?j zL@ICmp=el=zoSdX9_=CE<1O`};3TkR7fkW~oGB7+7MrWG=`f4=1B|UEK8w7PMbD)9 zySlz*@P)gE5f6E)unMiVYFQAuej@N8Sg;xXVRQyFh#`xs6t2cp6&UI&K^pt5#?+9v zPQc0W5_K!xSKvq@V?Vq=vd;4^%QUWgdA{M*6fh;)D8o@>fiBSizTaMn#5`PZfs4$I zV1&U`t;&@7dS>c0&K~W3M*Jx;L>3mt@l3%{OIY9*&X*%fe7C5?!#N~b=fRu>J>E83 zu_2s8ZGnj=`=3Ks6xawD7WL*nhxWu{D9pE1#NPxc{C7b(2&Dt0B4KAVlHaOM!ox+< zBoVkCE@S=76fGx(h#ao!E z*XZ!h?<0Ecpq6c?+JSk)h*Uw&Rd7^^<))5vhR^))%SKtTIA4f)oP((Kg?4|Pq2aG(#o^gK{L!SKPdj8%ADJzwDY&EdWf?qWR8vm&otk%g@zgJ2l)Q|q<|u@ z^DUqN%Us_z3~vXUtVU$*Bc@xJR)=sgOAiD&FrFQ9fOntSA}=boK?A6_1U$=Aq z=mQY52bQAX%ms;Hy=Cet@j-YiZ4WW|lC*k_)u4Uw8G(GKNg6kJ@!Le3DzLfxN4jWd zY<&%%T8Kwj4kb{8jmNK$j80+;B$T9J$mUN9@s=agzsH92tO`b|iP;!;0b{6EOjdkO zOhjBEA&mW?+O6|rvFHP^-G-v2$x@bjkEGOO|5m%P zbD#tbu$^syk2sH*Ujd|EPWa~{;Ud_MuEH)+0DTv-Lv$Q5L$KRsHyS{38k1!wyn?4| z4^MX?6HYftty#yyrj_nIgD{t+Ze(Kqfkk_rJ-XzRU~M-{eTPkSQ3h|A6(92wyaJob zo=ibzEE+}TVPs<;p8ifrFOPlW-?bskl7rBG0pM++Gq=gtApN6@#5jTDORRb=jn##L z(komV>Rzw$&p@~q*1f1#|BZp2ktrMHV`Go_NX0T9U#{jpdhD=c*V(f>WUj7jU;p^U zaBRrsb+NjacbwkXUitQ|rfS+zDl}SPZ`5_oUzU#XUK2k@Oqz`}G8S|U!$a(AVo&)% z5n420onM3j?RVR{ICi7DFo)2GT8~kfP`Hd@g^Bu}VTPNJuh>(5ogXr4r~eod^U?Az zg(2O&)r~GB*@8;O#gFzDqePrb9@w=Lkzpl+dBa8UntI%{?$9fiW z8g-sTRb)!&p|EhNhUk%tRFZ?V+Zk;SB$x?>E(%SgFYA<1-A>|MYjNmgT^x%H#DE?d zQ2I0wyUJ*@j}rl{(|wj1&;gvz$NjEQ1T<%Biu{$%vQc=1MY3Edkk2Z;DWtju&twui zY^gmTjnpB+6F`Be@CB+>MtYIiai1Hlez&pnl&loMIX)_zhxdVgW{Y!VkXx0J9Dh*t zOj>1txS?wMWu%SW^1?vwoe|lFmBdy)A8838&P4_IiJ=Zb)a2JVTK%nVA#G2Q^-4ok z^l#pz?dq6Bo}&pEy8qAjvA=Dn{euVq=dE0IMCy6~?hUbF)t}Y)4>^h$sl5w?p z(I=)Ew^r$G-o!3Pl0GHKT3q{du`qz`$$HJuEf#9Kin=_IY`khAdP zGjSrX6JqKE<#DngY!Vm8X6{4#sc<+HTUK(@&~Nr1g0m3xLw~Z zr@zOSNTwmo^{@eYSh^lo$J0y?3$2Mm&NFl;256ANTSW3X!%}k_!mXfYK_Y&X1bZh~q;N3kw_O zDIMh!3RBtjJ@X>j&&_a-$|NeiVc-Zsr0v~{PUWg!}_73ksV@KC&{9y$v*&# zPaU999QWW0zI<2f1{5M?Q>ejf1hUoDEzuE^!>#Jmz(;VF80}{Y1b^n2$ppCOV-`rIcv8v>@RzN4TbY!ni&5VGU`V?t$~U2vPe!(YN4lB9Z{5t)+yuC5q+r|HkZ&6Cli6+G zS@7K`$)%|Cm>=?Y8yL5Ms5OJ?f0Gs((>T$%ApT=13|uvjN#c|ASdjS)Nd~c{8(Qij zEPVW4=rVy=0WQ%=rR;!hGmN`4&|!FKz&*pzakhvHaToAoXQL7f0GvPA6dK_J8r^|n zRoKv#d1yCpvP}%lut~-X;S#+7>W^qBUP^M1(90N_5iK~a{%*ra2n68F=m2C~3hAJ= zLq($nG)iDO$-f+_z>zH{UGaih3*eoyPMnD30D9eZ-3Jj~4BbEein^z9C9aj7ptgsE zyaLqLf}VG;_=v?nSdbJe!~ni^XpkgB-!e@orK8ACpt)(ldzEog%o#M^2rCPvqtR#p zUp;_OSe^_oBo3J_2RVbl0Z3=yxC-L*c>>Zijq3M>8B>l>cvMM+#nreD^t2xj{zfOc ztndjk&3Z;eQ4~!u$}CT}9@wv7B#VYZw+mD2focA6kuy2I;EIx!5Ly#O9RdqI0mUzD zDTQqT)Y)WGDGOLPBUYW}SImR&^Zya937 zQ$~L$$TUlGAZU#b=tVPZ#B(;{u$P5hvmOwm8p_=%rhbo_>{%yYO@e5AhAq01ff;2yctnJv53!-`^N>?Ykqn%egJen} znqtvUc*v1Lq&GBl0Bkk|hx2K&3PcqX&?JR5G_gpc6s0wWcD1teg?)%mu++0@ZG9|V zXpUW?7Hys?0Ib;5_@Rxkw&5VQ=nv)#ncMqUM99&+y$^i#%vyhnddz3CQMY* z|2i?apSfGnq|hy$Q3=ynQN-lbI>?*O`tB_5yG|0j1mn%L@U4N+MyabP7X%ofupvE?kGPMEdN@Y|tPRbS%`XtWL5RRFUuu zo^o?`&~k6kI4MlnDuRq5P%vgt1?Ad_RsRK>)#z<%&vK!t^&HbR&N6bh%o?zO_fD@2 z;h!?Ov%*2p&%YrY7v=;H1D0Ljw|E)JdGcr+*atT2^2c`waaXDF9+JIy`sQ|IfR6}} zT?D{Q(;;cFkMTmNjgX+52Po-*1OrfK3kEuJ41%o=v`m&2n_?B) zn4k5Pk$=$?I~iax2&9*M>T?l=A{q*R?rHKei7(rO%qB!ZVQw$L;9YMC2^;2v{)5;N ztt5%AvDzm$Kd}w};yi>IC|v-kIAR6@B5;!lG9jB6NuVXPN+I=$3Vza2pB1^RT%ZLO z>R-Am{E+`ZaWk~4@Z!TXB(X{|fSsrpG(*jAed7)M=K@j>Ktbt%!o+a9C}9xz59;`{ zivcc?FyO9H;Lbsyk}f?;-^$s#h&)L~6|E;T7-8a-yPYmnn<(FsR`zFrLR!z#4iztx zS|DjJWMCJ6s8^X}(QOeinGwfo?i1zz6cVmrK&7wH=rj<%3Ms?=qv8IW;m3hZe}JG> z>LbyDl^(oWfWa}yhD9hHk}c_-Vi6{ulO|f(4{RE-zuR_7u#6)_N@mdLbEf$&p}3lH z5>xWiIPUMX=^7CtHgy7suf(tUfa4uJ#%Er$D?U}&iG)|54K6SWq26i8ca>xa0|64e z@cG!Y=P9JZ{g6~TSU*fD+RC10u~3ez*sBJ|NwFX_h1^C~UVg*&X$;VnK%M$`&e zc%@uYOCE`?4|p}4-$?){^YDsM$|rzZCqZ@!0fJ$+)k+A6`PSUU7W%I;%x=|@YsZZL zHm&fE>b0INRwG7nR8c2AQ>mz?6=P!ljN@-PG$1s^B8jFXMAYO^w-X-heO|w{t&<5m z(Bl#?ALb-!kKjk&U!yIAmKb>0rkYEVLZ-nTD!f-kG8Bz^qNvAtBF9HWyejf+xO)On z9NLOI<) zC86Rq&zsNFAe}|IK2&;>2M&scfe|5Yfk3*A3G=?u9?|>2%f!eP`N0Q-I+gJlV@_L?xF*+5t&V19C7tTaDx8@8D7fDEv~qj#%?Z_oUv13e~aHK zu|QW{9aIrMm<*eIv`!fA6J@y=gq&?tkl;w^0Yn(%cUXi@YRB<^w0;cg66L|env@O| z&QKmGLrY&pd#qJG8~*#v`vafT;mqPrQR5LC1}Q~oE1ssn{1v0EY`wr-512RzRdkVL z$da85`?awQnua2v(5uwPaJWr<-AU-V6RM!9$`b{LbOQGCA!V7iK2D0rndcz7HjjQApb~ zEGq`1p;jKoW@6}|EddTw8k~K^x6-JTOcHznj*RYWBf$(MWSF{MiBhx8lXvMiPZ;ne zwum6iZCvTQ2X5+Q{I`nCGVvTfu7_=62(@QJ5{WWWQk@~PYowF@n`d6i#BQ8nTwjc` znmVxdSf+tl+RA*ejAWVU-%3X4Y#B#3ZCv0=4KnV-YmV4jPL-;n;!H=A&8FK9t{37* zs$#d8?p^;E#D!5spCexGvT}X_M_$)|3x2xH9&Hr~)A{r^CM=5e@Lu%@lHCU1XGb|NzNe_~QMrezBCY}vp zK2X#@G+HdUd!_}f?*wt}4gbJDL2g*B--m5pJ3{q~VmEX9aeEbXh~=-c3Q597J0wm}CXCwpVpG9MmC(s^ae_JoD^`Dg z$QXvf8SV@bD)pn2A84$$O#zh8g_;;5$}9!eTwAFbirpIJN1&1k79$fj*);Djo3@sZPjhO=@4bG>pcI*VB-)0^1Cj&V0W6KhfB&q}1cnY`&V}JX7AhR8r z#ls!KDirQc1WZMsgxD0e`HVQ`5Es7azjI=xVdeD+5q<|`)ea~yn^($Ch|IvI-H`bd zNk%9+HFO6zIU9A78t*1KMarTCH;02Jxhz|STXw-KJ)}yfU%*^rl7=gw`zEa+lzIYG zI0M|eee8inLzNC}!lM?gPNa^d!tWFs*&PHSL}=qJSas?s?^1-!I!T61R1!kxm+5v= zr+7zah(;$RURG+JdtW9DG>B*6PygZ#*MmI7bOYbw>S7PGS%$D#ndD6gTiEu+LJ|k3 z$a0{Uv;0v&DBShE1Petd4<*2d@k(|=Gt3sb24Lh)3N@|$1tre&Z7`9^Z^q%5LuO+t zTQCzX0Y*QO204@)l9Hu~!!yThLt-zfmyNZ7jeHgUZyVm6JCJA)=WQ z9b*kCWHk`#TYC>YzL?`lKgdBB-|>MyB7_Mp!=$4!I2eCFN2L0DS$zQm6*w+B%Dab= z!95^b4egn3(X{fp6`TuOV{e)G{#R7kU8mpb9&IG*S4&zgDp?4!_XDwdXSn)lI-bbi zkfpsBJ#KbZnr@umKMA0lmAL=I%pou$di_Rz@wiH2{v_?)@+v{+xprOax5GekNCT zqZH=NX;zPanpX0Y*guq26+p!X;&6t@r45uGj+(596H$`Ah8XbC?yY#~a%%4Y-E7cB zB06G!LevD0?*D7IKM4FybexRiqbQ=h1XF?b0wtcYVTNJd4J8f#2XF8eFO>EZXt|sE z3GQuq*B5A>2kjIzIZvJR5^{U+7fq4M6lITiv)Q(VJP#|eOCMEsk0pH~p1PqEP=-YW zDqvFM1&f~oNC+k>4QssSWddV>UFA?^3RMr5nINe_Aa-UcsvL^H0_j-%pj%)N>Gj`M zn3o6fGA^6psAzz(f10N_e@{l&FPQJyM}PHhhs=s;ybMAng<3cbIf_tn5Ue^rVEGpw zd+2AT*y-ZT853VbRbJ=hWgGErAT4NUu+=$Zd;HTQdoWo&h4qRWPXnS_CyIKy~e*! zl&C%qCl>S9vk7W_xB***NuggLw-{K+qKMmAzWJ%wDJg##sj+% z7|K{YGF^!(QnHwzwE zG^6&(HjVW(k_8Q!2XCB-=iOL1#A$_8n9Q@CpW+c4J4yE-vop%wyyj5CJqYJZjRLW! z_5ndYz!8IB*vq?ZTtqpFm7G%w-U2!|Ro()mmy8&l#~5#iSz%mEW&J|9moS>aSO+Qi z{VE9+)oPNFI?6jtz`53s!Y53RBxd-eKAcQe8HOs_t!8ZCNbtEv74(DEvU^`AB2Nn5 zx=PGK)Ey20XD~cc#dtVDu?U@kbFqH3W1`T>sW>Jhjk<9VNMkeFDC=2K>sgW7YxpyN zL$-Mo(CLDIxdwwE52-Zj?$^r|-OBtIl4)DeNV>{u%tUBZO zrL1=3YxENtmlEpGC4bRVS~{HNb(38r?VkJy-)2OW>;=3`+HG{f8TK z{KcP!3OD9@=9sm;iwmB_Clk+}?+CZ;DWz8%f2Nh_a`^u`MUDtRo6OJWkJFNM@x);a zhNy`4n`VWp4#re?3a@fgD`xH-b*7?Ax!pljMSdsd7FBsIg^Di>tEVJJ^d_lC(0{R0 z@gYQBXQUN{*RyCQ7~k0pH^p0Pe(4_GW-NtS@bwozAK}lj{*ZPEA&wwuWxxe)>MFNq zAulz=p9>JpCd&fge#57LSTTS86!y@HEQ3%g=$t`O6Da%On^ViYFa zb*L?>e+w3Gen&@+UVb4BgfWg?>)-)58~rkfxN7RI}C8 z2c}1&RPzNU0Uw-stERQA7=H|N34x~lPX0tsCUAHHGzYEE8~jQQycrPbEnM^eu$z}g zLDTaMn@MnykX;do$6HxakFTR*ulrMW_;W6QHHOazj0-v`ZaL^iPHII+uwbSxgv2kQ z?@iVXF!YE;M>E-cPCXG*6oM5D3cGug@+_B`sm&qEXe?-qX2SEMbQfQy>p#H#Fs7hm zi2Ny5M^sC%)%lJ)y?@}pEfPJG{K@!(6*51zmx&JQ4xp5{(HlY2eEQyxjJs_LR8Q4d zA|{Q16$sE+0Y^-{vp`$>GwP;+4(fsN5PXC8yT|IT@-Be=vg=(@}jwHL_T z?(z@_Xd`{wpSKC>{oj8LKVAEW7p1@tk;@oaVf>qW+At1rq2rsY#1rgX6-Sk*Qn#0S zX()`aE%=Vyc6^0>5=zci^mt#t@mt=-ljTQVvPSyMire43whuh$Emxy^Wq^utbuY@! zAQ~RruYL2Wi%np~lXJyW_L>zt&%Jveq3o~_pH=R0sZqv3iI-LW;-Y-ysX#USx$71& zfSyA>FUvEVb2`uve{S@sW0kps$FWO+8d)E1lxJWkn^Rr7nzACpWwJg5m-nC145puV z@Tj^pWF07l&)D^^_Cl$FIX|nvE!*c{)~NBNK+~+qo>$i@zSP{BuZp$Ps@PW?e|FUH zwfd*`uWm6u*1KpOy_soX>>w0mmHW6y8)b21wTgG0T9sliwmvy`{a@0nh)}!I{(hY1 zofWN%hri6rXKiC?jhuSzB~mU<+h6PulONk0dVW`*KR zv_qh8{_5CSv&L!%<5v;yT)JvXj(Vr|2c}-!`?!B@fARZWS5zPN=j|{4nzi7Ygh4wz zy>u#6#{q+Js66#NslV~>m`Yx~rWX!A-|*q@G9zl&ULqnK5FpUhKB6*q=c0C@+x_wlfJHg@)2$i9F>Zy z)=S%*l)l~3RHrCyqg14akal}0eRd{#)mIceKPc&^pR8_Jh8(>4y?(mw^rRzx zz4*HRV$UOjm$cksFG1$clk2kYk6_7Nf1l`07mF_6@C{4(*+q!S0Tw*|BEl zCf;Rd+#wjmnz>I@XP-OD-EA9K@9r>o?)rfl+d#a#gV7s@Vr2*6(79I>Q|G3az6t;L zCDEod{AjUz5>Ni1W&aPIt;!D1{2QH46(1beoojb@i2Q!>@Z5h*Zhs%SD_Lw9q@}gv z>7^_%;jCFn_PL5vzvBBh)@9u|I2DtnbE~}nkLFgkR^!#Ce~QcYmPPD#FdjTt^W*L9 zw!*~z;JU1voWov=kNd~rA6zlpP@E71&wyX3nR>IlpQCB{<8pD2Ys|~+jMP$t9cI#q z^NwAe7xHeaB;hKGF9Zc1N$r=a%X+^_+bi>;O8UaKz}`Q%j$UjsR&c-%ovZoQa?aj% z=KKw7X2qYY(Z6`u>)|==oQx@Z^6M>cPzP!V z=KDtq$4W=`KT(dtIHrAR5W5|D``}dBzL4E6El8RBnDZMVI#5|DxU`NBHykdjQ^IHY ziVjk*vl(Ny175CF_758Ou@z+i~ZlBRORDE%z z_-%01?|1TNtZ!d={Lsn%yyTBUO{0a%ZDiW#5KM1jZ+Wg-uXXv0I#pnwu5aEzr-WW? zrhZxQ_RgcbvjVjnbnhECi!wt3t;_atG=A^BKQ*o;bK9_RmmGR9HxnO2$tlge=la;h zUdygYMOUfy!pY^$yB8($>LlzmRDDL*n}JA3F0P9-l|(csLhw@aTs_zS`5DN2)pDU!T3Xg4QX0 zc+tH~Jw`IziR@#AK<#U$Rjw81gwsalbrGDVXg|=;R(_iJ2)@XydjYI`?<3_uzgs;-) z^WHaXaMa8?)-k@#QSDrI*0H&ci?xHVhUJRCYaQ%i@>ZOl9iA{MYWulDNh{sJe(Y3! zGNHn}O?$5T{zs>0HAd+Lgxvl%fqC$0p#D>Z!ns?!+)^_>9SttCbAMy!-ByvhzpqxM z-@D3m%y$mI0gQFH685fxHRNoa{Iqf*?MH3+h1?G*;avl?TdUOz=RTOeRqqqZ?hi~q z0AE)kassMC%=^`U-7-;4b=iMK|MdEh{+e#8@z$;_c7qY=|EW(Hog7TA8_DKO%L#^j z)o0%A^9kQ?f-Lum1L+la%JuWcLmDmnPE1+q4cIHH_a$IV^ab{bTnMHAgM< zl*5&=KfXoprcT&372DlROnvt_z^32u^Z|{jH#^>@SXz1t%vt(>{5E~FJh=2Lt8OZ^ zt6x*?8f`c(*vPldaCYeSFP+n^GyUsEy?03mUKfvV{9~>4=j#5xYo!NIc z_OW~t+oc)6enJ(xwAi7=YQ+?1pWDK zQ1^#dPg<4_q&v=q4YYmeC|{I|+fd=y7IAx#W1|1`ev6mCQf5cIeQSwU#+mD9DPLUI zbM~#H7TXPH9jh*O>1lfX@bTup`xPnQBn!@{+!-j@bnNVK*7I)zW+~Mhm`6J`4UEL8 z?p4{>4j-aBr^_qc%pSRFZT;|5j4Ii|m$txZ+Cjv9vfJwtSrD z^}PxorEt2h-g`UPk5oplyp?VF@%g~y-5Txvfo}a}CvUYott;O6Bj{i3JJTHJXFcv+ zw=Ny};otGZKLzsxNR=!1lx#U)s%7Ax*08$0)b?@3kty0-pQJgSVz<@nd-?jw=${*# z`*&aa4rG|^PBRS7JZdDMO=kWkYke{}vw8Hb!kN$-N7v(7V>`%oXRHqE#Rg;D&_m>n zgS*Cb`%PXrZ+aW}xHNOvA;;kOwb8pu*e|_}o z$@_kq-Kq8-n>qw6VNXn4cDv?9xSNsK_2%DaVchtyjMkS8rglesD%?;W za>-*XhUUkRuU-E`n?o6t(9(3*8n%c!HUBZ|fR}w{$HD00qw^s`vrQdAH?&5}FS}J_ zgzCzNFtwVpUN;y%<~GQWcq>Z<+4JkKTv$r{mh~$m^r(zSpXuT2))M7z-OI^=67qN_ zEeDNT=laXCJC3`e@A%8Ron)%y92kQFGO9QHizqpA&AIcw=KS}IM&hsoYbeb<(egm< zo(|>5Y@O2|Ac{IoVZK*cd2~4UUB=mcq5ANix|+KO9@F{qf8Jq=?VDcSO?N!oRTcjIaPPpa5{cc9;K>#4 z5x%!oTbg&)!S z+U=tac398eE(mDipS&5PJaw@_MlQ0*^T~bhAwU130eyGaKmwM4mrC0_=F9r@a@T-Nx(D6%i|79(& zX$9(OljrTSR5@_Ck@#A|!37RtfUHh91airm2rfStn{^2O#5{`#hd-AzokGg{4{7#v zZZ0^wTm4udk^NrPfn{_laBJ3X`1`|}6@8ZvS|)tFeaa=zhp7qY+=yM519~L`o zkJP29-8vKavh%Q4az6l1d80!aJmqs)Mlddm*9`u1?ws7r)CMo%Wv{rCI#xFqD1|SJ z&h{ClNzryA}2Zg11-A)qKT;KGVO~`}w@XY2&Bq^zXy#I?`YCBsx2-J;vSSzGSJI9i?$T z0x}7Jy;#oaR7{8UYh7Q5z{CG$UokL1I8uEtN5y@YmY1?A-C3;oBpH>k-^Aii$p^Ck z_5NoU4-JIrwb}4(0FOv8WwW1etk)0s*?Vmlw{6twkd8c7aT1Qs%8Fl{-&PiS0|0(D8s)67+vxTGi24q&CbIACwS`66Dk_HRE-F@fkrpf~tfHWcg3=;_ zbO=R~00}5rV3npIA|ga(6_Kidl!U~9fJljm5Q-sz(4-}yCDi=y0Ke~h9-l`hXEJl| znfJWsJ?Bnl9$NN??&`#>4a4V9{$e%oo_u!HFu#wgUb1%EB|!y-Zuq@gR-aRR35=zqhou# z-W@)?=p}k}Lh7i#&RKMacjX_O{PK5R$~nH+iCZ*EZ>~EyDc!!^rQmZtF)&W!g5}o( zpN9XdywI8Rto(~sMu@XQ=D{JdUV38jXv$XKf4uH~g17(N{Xpi+-H;1Hl{dcHafZpy z=jyf>CGY&t*lXtni-Y~QI}@(`@#x$o_x9I}^XbQ5pT3~~_c-g|;ZvmJ^M0?J{h!@D zs*^E)(Ov(d_~Z0~UA}2Mk6Bv2VoP7&hG{)gVQouW@e%h9>>d4QsbgopkFFdD%r>cihD*ty%Oh z*!}&%*lvlbvqf9o{(gfm-96~{P1n^w+A4mheLQ2SY%A+j&(l2-kIrkOQV!OC$$izn zKj-Ip+DgfGbn_AH6a z9`up2*WK{u=cUi3**Xit)3!8J$#yIHkrS$UY~RAOTzj1`?+dTrjIX}&aWJBRFrStqh4A79 z60#)`?z|lY8MvYgFM=SDC-*)_$9k75T&c8cx4JlKPGBgIJJ}tuSOszo`x4=dq_cOx z16rJvvnOveVMQtn#d9R!rRKG;uW9X4S?;_m1T_VdMz%OC$pHI|<|?V|R~$#Pf+gv8 zwXt1b#(Pb=*mq$9gNhZ-NrX#4DeWOlMNe2v6O%&R;2{Wtz2qjg5)5cEbQ~>1 za;)p(q!GdBPaDz~b}B3uPX58Z1rt*se`247iODP8C??WoB(q$3z61}rN$m|3p;|KQ zW^oQpR%%|ei^+ZmBf%%Ui%V$PlFBZ;079(1$tU(Hn2crxk%pI?x)mTp$T{E@SF|!p zXEx87Q#o~}AcelVygp=C-=c?O6PdKG@O-Y_Qg%KQ2RC=eVJetcm+hLvkp zRMJ``{k;QZ2?`27KC@$B2AUNV+MHyePk<7^N5Qd){S?N~?5e-vL&#HbY+=8J*=be0 zr=?03dKRbB9>eT3E6B7V$v*e`pSA=HoZJp3jxrENTkZEm$}2t~C#Y+7vDk0j9mSps z%J-7H*#dJBY-Tl#z<>h)6q!#QoLMy|%m*eho(Sv|$Y0n2gy2serYeCd z%fP4x!c3Z!6x|$_lRjytu*_tmU<#70nq94<9T@_u{4;-^9APmzqsJc>d7;nT4F03n zmw2-;(v{~!5KCVrNGadsNwkY9X^HMjIm0VzFg1ncuIH4$-sm5`>-mx<4zt!=S$zGn z=Nv3t-lUs-7-p^4)x`FK&Fwx{1|XYGPH5HcYItsC_uW=&W_4oFZ}uUrBq0JxSZsaW z^WI&_92N}o&^bY(1t%;k4zE0ejc8x<;F+izl!p=xP9)JnK@bcM>u^U5x|(kU6IS9K zBMA~uCMD#3T>^e5Y>EF-GraN#@JGcHnne7Mf#H>%ur%F@N3^@<{$4W?aDqq+`{F0{ zGrJBZaV{)dMk?Y46t_|okAP=1j1<6gBCTACa*KD7fZB^=LQxFIb+C`Zkov-8nw%8n z1}~IAhvPcgIxwQX@DWW-3gH3;wp60_iEnII2=M>XVx>ofF_aB&(_Oo zHL-VT5>n1L1Bz))lG1wEXj(t4NFQ53Q;_Vt$y-A*i~TF$FWjdato`w$ii8n)6FPeV zj389eYt|gD=m(r3D`llEd`D}9nP~}gX>`f4v^;O*Uci@tMf8OUw8H?50EJwsv5``5 zv%Sl0Y-?B!e7T#g0n1T{Pc66qI^}#HxqaY$hTp`O#V57w#hRs=18K~g)o(@lj);g$ zPu-X;Uk;?D*o}m1MpQ1=RK%klY7bn;94+rXNMOE$PZn-Ub7L0#n0gAIpk%g9*$15K zWPX_}%%n|a0OOW*V-+xFwM~U>7>TqgANZvIFYCq_U`%eCGG90PKch`q0^{a&<7;4i z&^G11Va%dU1q0*8IUmC(5Gid_wi`xpP8(ocKc|1MB%1iy6XQ^^f82iv-FvIp*eIJh z$xhBErS>ur1m;8d{%|cejF#AE~Uq9Q*G!=7n4!-|0qxo~=(e!m!mLp-LYOt%!`mVsS){UNm&FiNW zb1&}I$!1EdPo(+~fhnugQ@wY6be7s(li;ZPHg@$W?lsM3T5jxmjKFl&=~3Fi;ShWR z4KDPd^|981F9N{qULTHgI?aD19v!le=nMvC<) z4L4mk8UUlGImuwdXakJEAv){Ea$vk1O`z-YW~#JClckme-JhS3g2S^`Fc zb)x_n?aWDn4I}VRI53*68)bme!JMSJ?q|Fvf$5~(ga38iBe6PNOv7xZ#fDLrz*N%d z!Aq_iAHpa6iEUGd*4}{tp841AG!8zJ3vGz^;G|+SeU~NTE$V2F8tx z84Qeo4QAGjln1n_*YHWouj}8m1jc7=Q%xJjWZF~=Fm7Kr`oJd&!3g%Qj{r%(fnmm@ zS$EbE+#-o)w0a7TuNzsdH!v;0xDIcOFO1{|rYW~RlR$7BFoN)nlK?(?3!hBfh)jYc z+72A&%=&T6zTCjn#iP|eu3bN4EdmqF#42k2lpINP8r>74z3y2e@T{*niMa0erRy+K zlUwPM;kpqCj91J_$PFU^i6t;XATjX4n4dC}3-z;~Hg#L-HC|Gv{3akuMA!9yLs`x) zRhJ80NLaPK#~O#SQN>5QwdyFPVnb-89(oeRoj}c>$3@rN4ESjfx~Rlyh?yb$Q9jgU zVFPx&Tu13aBel?e&*lyO@gl|5ykfx+df|s#1^0g(AhB-Ry>|%i%`UAQL>)~VT)5@R zsP~F&fAWF!tK+mKmG~hrF{Eonnv`5k>Pq|IKe@U$iECN!f7t4%&(PRFuS6H?{1K}q z(%0z|(|o~Ti}kjFLbav|2g=~mB&TT+`*@dD>P$xiCbN{vyu$kMxhqh8=vB`Y=hlzT zsrV=Ko=LZfZWiUS5W90nWeU?ZR4Ufe)wJrf*Fw-`)6ijLzxLv6M)$erD+xYNQ4zwY z>7!YiCK`79Ax=2*{Jir~-`hKj(J2crjY`#$F21K`mQgD&_GZcyZo5R;O<}~FGA*gk zG*nm<&hN!)?A)~@Z2axY{>}iND5rXn{`$+dERGT!y%?N*Xei`Dt6UtLC@dZn#j3W+E7O_^x@4jDQmQ1DG zXeipB7B}+f1GUkTnof}`r5@I3*RJLEzGHy&Xwn1R+`S1i2gqGncDQT z9rev@GiGbkP%{5Pq_+T`Wub)od*#a@D!kS;G9zo=+R5@>y#CItK4G4i_I?N7)#Qcg zXfXDXey(~fPs8^Srq>A8^mD0i=9*TADaokowQAIP7mCTHy0qprYf0jARbD-A zVQ2bKzl~BVQt7_d=YJCnz)$yG-TaiQcU;eCNOr`VxF3CTz0a+=l|k7n+&NKFrZorMKaE1jgM|+|0wsy6~g;*gtfnO9dwmEr3%E?Hn3~ z)dt5BWbS)v<90GFP@eOB;;Fd%c9ZJZL^qS$4W({z399KDDbSdn@=tu`yQ(nCy{o9`gjGfEeT{St6}V`SvGZ1gxPD^Q zU;1`2)*)vjl&+Q@R<%`E>0SQiddKcL$89|(j|ayjQXX87jtCc}w(<@qeLLJZ^zt#e zJu}bGsWO?GbgbtCS|U1k(0Y8tbf7e3XzU0DSNhvRN`BUuQpS-b`gE#F+N0r|-5-KN z+OPv(joKI6mQ7xaX1EnNBJ5K!_8#_TW0iYL1y2q&cE}Og^`)AFT& z$)ZyALDBWIto=Si({1+T_SN&_r%gQwUohYD9q}&5W={H?89zPgduhR{?|P+UDNLbM zXh^|I1MGXZ>MepvnDKJe>TmE~c%=dt3?4=#tpu@Oh(?ZDa*Syg` zrrTnCuT^zI4dde=OJNy(;!y9p*=|L}blmidNWO z@GjiAmo%L$dYh(y|L?5vmm_-(CW%+Y21Thia=ZC077oRi8>HTD8DmVkrgHzg4s^H# zFBhFB{l;nA5muw|(X=>yS3;u76|orA;9V!WMjtJ648;!i`HYVL6F+tuQ=E+QljZK1 zb&84~tNBfgg#{!35TB7%cQniOt*}d`My_tJIm#YF0l!^}NZ!fqlGjxD@MedlxVR}d#Cq5&Q zeno7MQE(&oI%(mJl0pdXwbAp{EvJTzy=)69y!|+%&Pz&{NJ^y(>fJ48{eIez-)<@Y zy~GS#&~~_yui!4rHJw$u6W@FtoewK>;;RZ?;Z>2wr#NsIDIulU{Z@Mw`!5a@bfb*xR zc^W3$krp3-?@c8)e2>0KXvJI+Q$FqP!Sm0ydEbN*+`HQFtcvw_cx_2JEg4Wj68W$B z( zKbU>7T=h}nN<)EMz$V2^8c!!9?Hg1-1{p@kN)cNPvIc?IJ08 zfH&EGK|0;m;JkMI6GXtA?s^0``+nDYId*5Ue6ydjC2lTysegQH?AV>2lIPP;$R)T+ zPZ|9~AObudbZ^Erk3JHf=TlCz9)I>^PX1y$-HkQ)kGsRIbjloDf&G#9?F4B(jl9yl zfhLQLWV6PsWEbZIotZD-8Sk}It^q&077jR1Bj*bIQkjmWs_2jjvKE-z;`}Z!H?5i+ z&MX5$Sa|_0s4}LemG|=m9uF?lT+92^X-7F`eMQJCWXQ>gYU#&MmCA9q_bJ4-6#)Q+ zYyc=g3};0DTj^4`>^gv!+H|LyyXIJ7F=2J4FcxO>5~!63|c0^XJ19$sVc&*Yl-x2=2Ml!HFD z@^;Jg_?hDGzKRQ@0s7A7RN#HP4eu-T8%s-fY$_Aq@c!tfHSZ@q@Y+Uky?q{+uOQ3K znJxG?zO!Ba#sOB}!9LMf!5(dUYp#)S3WR{wa2d2!poCkh$-$;UpwH^t(lmK+^>E)g zkPyZ?y>C=HswYRLy~l5Z#y!5J*fH_xzmd#tL~3ZKyAYROgB?q=6y9#F-Us+~k$(WV z{@pLDnV;tko``i89mo?-2P!2`7{P~W7VgTA)+g|#V(!!01YT`SV2Z5p7jS*p@Rkk! z{7q=(P687s+L*xTHGRiV=#INTKR0iQ@VI>*Z3t8COzCoF>uCVakszevEHwS)-kUb~ zYzNymv>?O84v=Rfr|oya3pjfqKN~3`>Wq!SAVZc_!63DiaQ6im$n8Fx0Q1NxiL69P=_^|qr z4i2Kdl?Kb*BP}_dVmb0T+h8;iTiJ6L zfuxL_i=OE>mQ{x)(qI$1ri{L4NZq#!d2Xm}R^!3NBL_H&eV_7{{8s;o$I44S9-O`` zHjp|YW8!}NUFs^U=i)F;&0V?6<3d+BH%-{3210vJUAb9t&Rk(^#)16D8giL;n3PYN z(TIhjIe+d?;;_P2*7bl<#A`rMf6--f2gf(Dx&ubxp@4usBaZHC!TgTHvpw&|FaKS= zTzS9f#aCGhB4D&T1lZp{f-6`!-G=S*sC{9ykNSACWy_bL?BH(f9z`fax6k`3TdsD< zwVwV@12@00t?6Tq$-!q}2y?dx16P%<$*0p#h9`CHRAHRHNDkXMIP%$ZHImCV?)YSU z4`KSwt1P{lW}rWkFFI@~sNE znLCVzIfzsF2Cj+Ob8Bkd|~;f)S18BaInrf@#do5>mrNH`e0Hs`fZ z*&4LUt4#WEx(}qPZ1aqsQ}`enbd4!<(Kh|YDu{41B-7*Sf_hrh*(&5RoR=#-s?6u! zZL;GB;uEih;3F-krz!ml6@W!@v(C@ML4FJ4MK{JNx4-H9=29->c>jz{)$*=zdyH%z zfK|!6aQQ&8C2^TiRU#O@NT*VGa|G0JHvp^}3u#ITdwd&F`Tb$QrxPVRzTrG*3>Adw zJDZ4HfBdC7#-&jSRxYpl3=zc7`Ins{^pCNZQ}MmO2!YB-Q&zI~2EyLyOT7&nC_ItR z^A^{8MU<~wC=$?i?{8O>Q!wBfNZo|4!B>JKa#QavKZnY}C7t^i*G1>E<{>Wm1TIdN zYdKqx2t?9TNZbw2CY&)J7f#B9KsE%0Mkl{Vn$ixJsUsc6gRmaHC`P2Pg0*pBMyWu2 zT&L48TDUF!y=j12$5pi)H@V6YoFFHU`8>Qo?JfX3ynXjMy$hSTeqkSa>y88BO5+ z2_`1J1|$z9oLnttGcKu34XCAZG|$T{SR7Y{DxVwA1!c~ED;JJsxG3XXhfg(%_V;`f zcAkyZ@h7~?GukJ@99=wk-I%g48rB!0wl?%%HioXsChbCioMw7*$T-vkjJ?cvbQ5vx z18B-!NuCREKR2KES#$9b|$l|HhDp7m$>ca19`p335=n+HWP2 zjD#^CbFio!>xf+SQVcJ13vr+W-(G-}0pcxNr$1%lXJ4S@yaVfLV96?Xthje~;qP4? zGs;8Mv7vvDkffrIg5SRec8$2?IUlTS7eQ3C1zz3+YTE}QF*(22ps4X9Pr`^GFZjct zyg*s3q4A`mtwT;0Y_{Rg;w)%6^lamHHPKa;f9`^`P{=3eeR~ zBgBG%S61E=U>9Tl$XHCnsGm69a2RiyL z+f`o($`MUgbex@pgP#gP{#IM__romJpshGSla;?fgI*YuAk|=wgAcrOqP}3JTfYqb zVH!Jl2*kA2sn=#*)%TO|rS}B8r%gWcBnyGg>=B(u*Gh)2HV!WAbuEgmouULBPHZ1l zh%)WSwuDj_skryyiPjwJ)jZ|QQFgBiWt?rC0a!l-_mIGq7D~r*k3oSPXf4J<@SJ2= zKS3mPq~E=YlZqzw8%t7xf2JVc>NH*(jWkvcxoliN34{2BVF;=ikhey@DsBg8S)~86 zE}tj+Njo5q&zv063iVhBdYI*!4OD3B+1CbLB+uS2{Awv#l-Z({1wf057;EKVpY!Y- z1f6(@Qcf=}{tjwKE2wtd2@%SRBtntG>S1S7i%*LkIe=BA>dYF|(_FGveTQ@Bs719? zQvu9)W?X{kt%Qedx8EU?J50ho&Q=a}?6mftMb>bodQVhfyWl1mvgrBCmQqJVSYr92 zb36ceqSyw&ZFx*+4n42_Cw$=gikm@z-f&CYvSi2Kz1=t+TlBKXSlgbMV z<#SV8ePjX0QW0ldgE7XP8BGzr)iHf5l;562D>uV6d<7^2cbt7)3r#6_xiJJ4B;(F2 z_o3;BS%fkb2r6cqH}I`ci&2B1!nmu?IzXx%y|uWjXzXLzXGLje=eh=d(mjFgq7lG1 zODeuGE^zQ=+rhpqKS`4C7LkiI|yBK=rq3%g2&BqJ{>OO$y73K{2*Q_6$4QFwHc#7M1`K2$Re2jEWmHXL!SeYPvF}t>z=2Sk z#5NWM1+BO0sSIv1)A7F%A=D;mc+jm(rruBDe$T)fxc)$Ee!v)912Jl(oIEiDub;%| z_zU)it16-y1k1qq*C>sN+=vN#Q0P`CI^i{nmGD4&aefzLE%Ho%W!cO^wcxxoMT#ofzN($*$MlN#(;qm#3l0x2&Xdxo)(Hn6+pS$7sM<3hZ`vDgLqUu|0)n)Pqwl?@CwcY z@#XYa{b^Az|4rN;_a9kz!1y9At|?$uQ&t7UUZx>XPzCtX_1j z?F690#WtU2dtAlg%&qgSYX!1+3DxYX;&=l0=+HLG@GKr`ucb*Nl!^}b^$&(#uPt$d z7{xioR}Gbw5~fLNESVGe#4-=CzX`yv+<UsTMHZHNL&Ao{R0(V)Q{|&*$(h99ceY~1gZ6wnC6(zps^;xL|}^6nI@>2 zVE6E<(xp6tHB`_VZWWemfv*Jr-u?@V2>hP*oib{0keT*{{n&F!=gDM?$l|-|oJ5bsO#V#P2k2&0L z)GuI8E0AWZ2c1wU^30KLjqmB9SHTmg601C66hK%&cClV=^b$<8$NvDuQdaKg4R^C1 zgl@SGWI%Mk%{sMr{9b~1|8|SS?=woc8Bk{!2A$1!ec%*B;XZ6z2G1E7TuLG5i?KXr zTN3hzML20nCD2@!Z3bsd*c#Fl7D!IWuX0P74USG(5ab4KW;X|qOWFI=VQH_A_A0p#)Sm#E}24s9j& zK^tZYXvP`wHEItO{qELx$`)`;sAel>x%CV5L8rNG$PGg`1WYb(K(%Owr}UefT{cP^ zbUj#iw4B<^rp$CRxsN^yl<{%fEG=aK7_o0a;+8+R(LkPW? zRUoo7o>)DnxLEM%KBRT&P$g*iZ?;q*&J9RmA&@+qD42eqb3~9^mrFsZt!aQO=+F2I zP|doE1R2Wa0bC5CcyFo4Pg9#L;(jkN0`47)l-pn$*6%aQ-~(@;J8R0obB7yH1}7EV z`ZhUS<-b$Wchgqzc4Ahzk< zwZS&W6Pn+G!0?S~mSFc>#Z90tlEHh6{s2N9WhAtJf>p^uT?D9S6wq?8yX>Q-$4X?STB+k z1qr9!&$?X|`(QDw70`49k*M^!WPtg^BuUqVeg%{bxr5i=Yux%g6LpZD0S|XDo`^V` zwYve-ub|^Z%7JA2fySBbpZNQLBp5F&AaH$zh!~W?B4`Y>$x2fWupN{sVi4*rCKYkS zS_3%66xvG5&I3xa>0`MfP5?^SD;g>5N^)T7J^rPMsw#DUy*1PM`IrPi&mwozx@s3Z zT-XJLD}F<@w|Retn%>n9DB_v2wcA2$QMoQ_tN|37m7bx~`K&igfLXhhE+$PNj$6d{Zvv90fU~58Yoe7) z;>w{SJ5g|(ckv%?uy7utROdbikXb#qvA*$`r`F&OWmXf$S=7FnZ4hF{HH*K4o3QNV z(qBCQfDz5DcQtr>w2=@2b?(^cYOosq=D(FzOl&S=ub%C-O~l9F6a2MUc*cB2gcf6c zIoOx?vsVtt;4MlxSwJ+*QIagYfbO7#I|^!T4NzTs;gtv287Y-HSYsXQ0;B;{UYkA1 zNv;Nb;`FK6W?b|_n#7fDV02A_pk-FQ020_mZ{XUq6EQ$9ltT($A1wMhwFS^yQ_gW+qi>5y|i<-Q#XQzq!)gWf=ewWPM?K2V}6Y7=PLK+u@JzgI4X zPlHO-R02v$mV01U>2CaRF z#@FaI&AtFdW|JKfyC*#t(vq3d8!J5@{xqjnUQrrNXs%?7gM4J`Hei}UnE`6CqKj5e zxmT`%Zvc|uYdcM#0LFQ~-tR#_{2E9sW9$^)5lPO6f8Yk=qQlapB5*Utmn;uZEGb`t z)_(qFZfmqe0nHw$A;$9It^A5qf#B~z1@{@$z<9@hfwt4e9H25U(ZUH=)J0sYl~z9f zT@tk5DdzUHHV3SZIB!tO-h^gd$n~&wLKIRap>C>~cFrA-tNg&_8v{yzvT_0AJ(D^( z(Wx##>hP7VS#aosNp`q6s7JN%Bl|$@@tA;;1*vtd@t6~=gfoh?A!7jVA#*@GKsA$k z4$YOE@jeVO;fV1BxW6YN1D6Y!aIzF?2ONKAtP-f`0Th8HXb1SMj=kLR`9dsICCMD_ zxzH5I+U7QV7GxjOhC5(M`4|$9vu;O1)Tpq1!{1ylBQp>QX{y1xSkPP!>U)DFs?wQ~ z^m|YNI+9@2@WbRxR+?5QklmF(_hQZ7s4nk{% z+#GH_$!U5T>b*kka}n&QSp7J~1R1rw_U%Lv@z}JsSm1;os^w`>-&!Mp zGQyr%<8W(e6>}&ri7UG*2khPgu$&JyUIxO?w~B*40RHoYbf0})1E%@{S*E>Q3@k8- z842e#`BN8IEnuyN+0S0u1saN(fyQ0D38=Do9Buu6#(i)35Y*`626a`-6>dgbK=r5~ z7u2KkHU5cYUlf2krGbV~!R;X4G698z9kVCze$tW~O^#Ns3hDQwa0wt2v(+gWCuyK& z7xd)U>N#$Q9lK-)P`Bm(Te=D9dL{*RUDVdqEe>@W^tpH^wEbwYZ-o{0w+1pZmOi3< ze9J*O%Au5fI@%4tB^a{C9cnzl@N;+?82+&<9AHJiVpnXBf0vDEPcCRz82v_yd??ol zs?h8PMI7d%fiaH$RSnDm6Tq94VG((LK%smyQXXQHc2f-5;SZtK+y^IU!DF9r^$toF z3eODOMX*>|B~Ic-#Bq3&G!~ozhHo4q_}!+{+lG-wXNjR?$|lefmr4^d+Z+5ARaKH zf?fBmY8TADz7x8e&YJg?sgY{stExa^%0a zN2kX#*U==n8Y#SD_q_zRPVEh@y%LCy-DMc4)N`7$CNyg_AMHq0d*~%axn9>Ww`De-`&P;54*Aizw%@4 zj);*v107+@Qt1mcBv=R=*5;p&RChB<7AzpbY@pB=blry;S7xc#a_;05a{^9#2- zMdzeRX*FdUXfv%MvXv^=AgY^^LaxxGtA`w{4VXNGFqp5*Ufr^D6G=sf}^T;dKtRS#Zq%p}h zRz^@L4xiiAsJbHRZQjGGH-;K|3nA(<_w2vX)C|vinM4jTz>Cxx=&d7*!cb+2Kf*P) zPmh~P-0Rjq+OTr+CpC-zmH#fxZ0LoJjki#(`?f=AOr+7l&xxxbu}&!b-_$>!mFP zaWOX~lgrREeA9D-#Cfr?+%08&P`=x!Me4J}RSKQ8Q{MRWsKlRfx8gC5 zAPSFb5gqA1dt;6tSlB&?lXe zh`P0vYoYS7`*ur&Z4c97EF@47Hah&)#AX?g3!8`8<30l`vgu|ZzyEvx-8GVl!dAe1 z2KeB8vW(+mtWZGL@Oq7of?;VyLeaq06eKg<*z84$?>lq~U&+6-VVNg6%mr2EwDq{_ zxy6VW3(j&Z!Ftt6ydiD2B__YVvXz!ILssWqyhWW$>-TyCb#3?ne{&A)-o?=!0JH*zjL$@SsY>PtR%gj-F}L>%xg43M}SulHRLp%|Rnt`9Yk0 zlK1gbEuz89)TTN)8+O^OzID_2$Adq!JM#L#bJsuk_5S~VD+OWUS6S6gyPb53 zf?7jEovq1J!j_iL&Hv_iUdheal}Mj!ILAXrjf7o@<(@e)+;1n|m&>yv@L`m9U!TNs z4q%OIdw2Bh5>ifaB4*D+$o0Qc`nmest z>b&*IEbt{uE;rk0lre&@H&dJE*i#+Nq8H}ois;}uYu#D(=}9J@X!KZKoMi&Sp3L!M2}s{sQucgj05S;pPS(Q}us_&rQtgDHG47aWa)ys-F3D zhAereiH}G^+3R+W;Kcjpd5T4o7j-S(>09r|dVDlaFS9X_d4?B?n#HsD>5(&>@a>6n zvq4cFxM3DY=>DgxY0G2wLRIgw!hN-&qQ}qVyiHnX#H}aI94~b4T`GSvG7!>@x}|h> zIe7mfb8qL=EXfm2U1|<4i%{r3FdJ^d!4}7}@a~0MxtGVj36Lg*aMAWI4{sAhj%ola zl3(HOSU#tlc&NT@VpU3*#ml$g*#G8G_O+}k(s*)71I*asT`LBf@oLMGGcfnobUop| zWtj_5eJTg63oK@4TqZW_DYJ@htnT@v=yAPAggr6IMIvmrDfAI|We1y?KwmCJNF2Z^!8dR=;*kZ2$G7MHKC+=&Xd&$mOW=Urol_K5Xw?)|iS;oH;}b zCU+j0V>9|n;v2S|Go<`zyyVL}Z1!uiLe>K7gLXuVsrIAx~)3||?j(4vv{ zI+Lj%w4W)#8GnoS+4CG(Av~sJ1DT>mBkk$a(k!eg-zq;7mcA9`hgGh%tHr3ukFNml z$b&7^M-Ru&rD^fLdpsP~|Mr;4Kvi`;XE~GiJ;H2nNZ;XclL4ew8#nX&s}U8!39n^` zo2Y`hrjc9uwL9W#IsfsAz3mmJA8NErvDi~G^f293z2d79PI*fPxv{k+%5%ur5K7#h zDzpHVD09cdw5PMih*ekpRj(>C7$+0zZTghRxM5es|ExFc?=|ghiW|F-dYn^~!S3F!>L@%QYnJWrEZUM)B@B?5C)VQ4h z#$)6>9w?^kag$NN@A7Ek`zLaB0UlGu`zD95^oDYM`SIYDt*EouM2srVE?Y~aVa@X= zj9@ir9*)Bzw0NI9fNe{Kx@%VJU%bND5%BCZzby?Tmlf2oO|?2iOg(0gB4c4-D_0sj zJku!D|C3{cdJKLXNXKP8sUnvSI$q)gI$L4A&6MM-e0lZRoPUMof6bD18HR95Kti;C|6RsNDSUMpj=t`8}1bL-@GKOA}pRyurf+VVJLfHeP z8jgo+;QwA&K^4s9Ovh86TD{!qGG=D zHhP$eOv{I^Y#$S6Qt^lJ8e?hJ9zd1VvSg3OEe#|wifY?6qQ?R3a5blFGHzJ8zU*R@ zM<2K0+V{*&9*0*WaZv_hWK|c(e^JIKI@_nj`(}9L){n1+iD$vpc*1VkPG2@wU}bs! zFhgJDIY)V{`Xzw0V`c@?)g6!3mUBvH9gRD%1rxWi-bAH%BY8@G_P?UiKdCu?TQqzt zYS80QrAcQBSXNUZc_u^(*hXgO1P-erpE9YNrg4j%Nqk~lf&HjG6Fh@)OV(U=q-Fk$ zQ|Z?-Qsm5S6O-`^6<+SFR zZ9M(8%{}-szfaDy2 zNp*VY`=BVnW->F~C&Zv8ohU*+WM35LZrzJvJM2yzCp$+8l*!B+$6~qK zx`i_x;(f1qR{xZ1hE$$vyiTh3xg|%lS>oOJK6L1olld~^;9}yU-Uk=jT{{ zBX3WYtpFv5L(vvHsXKvR?MHi<(4dp|%xu zSI_rI52ns}Mj)Jnmd5Rw+-V|=9`@b93}hC2UeCgtR&kJM=J&59n!+|EE}WO&;PJIN zuDYb26Mi6{JI_yD0;h-yYtQ0Y6T>0EE1qBs-A-^!K1l0H6x zv#LFt$76Szu+rWmY+tLV9W#vkjxA^wy!zf}vNy!g>U!D^k?OsOhNxS8X2^OjqU2Hm z%Y6R$%2Po}8lOZrdCZ6X)scH7}l^%RX%fX`p^i z7s?VQ)}9|Md`!oP3Tqna*@$=x9184`wR~>XcPdzMEsTR7{}?EbVl>A@m8-3NzT!mZ(l)*XcekcJ97V`t(V z!UhsRO>RQx)2`Lj$Lxfyblid@>lknud?y*%pROUx)={5XY}rZ|JZw&z%WeACr$;^s zyiGT^8mBoH^zyyB>T0>{%G6&V9i@S++e(iVhxy) zdJvmk8%+Kn-X=7_s~*IfebhmIUr~AXa6!aa^C30&t}8w>Xm6%yfUmF)V$XoR zR%;B@L8fossu5eho9w~^S!v-6P+jEUem^TGbH{9m6 zZKNGyMN|+%B1NZfx~uD7u>9UBCy0`qiG`O&g~o_Zv<}E3 zS^eu-q;hUknh*$w8p+Rt@i7$P3SPqDuui|~hLs-$7_EWC-)eQE^Hag#(_tY~# z=XY81L6e4zejW93udNX|pgpjfB{!v0 z@A2`lhA^4naftg7$D#o z@#E1@ML6e)E)s-GnanUN4mLm)p|5;r@Nw)gX;rXMzBtQt)1NnEdLONqFZ#R5e%Hch z@Vj)mH`Q|aC&Yf6!RYto@>k;P@#Ct#r;>nVRG2B(BbW2jiBk?K2e8N*W3j$tYmqJE zO}0WPlMC3@{{UTK7l&AZujrE)DyDK-U|}+NJ!cueb%6NNEH>l^q({Ruzt2FayRtYD z(oyF6O7fs!Y)u-5Pw0a3iGHNP*p$-HcFh`f04tlu!7H(@07zEK0SC7*laG|s_}Ehi+; z1SK%OAYQk2Od)_Ww1bpk{=En@H}Dt=lUvK3OL1iphAc%6uY{5FMOYx{mL+e?of&6C zu7357&H%2~8pcSixq1q6HO>oNG=eiV8Skwa29gmJ-4IN*9Bs#sQ z=Y;wgI!B6Nx`?8R<1~c~eqnvs8wcrDwNF)^QG$bHh3iSFeCDPG6PzY3L%Q!R&%eH0 zQ+_4@q-8y<0nK3ts}{({DQlklKjquW4nQO=HGn$u$@iWXo>h*zYGJrH*>+wjBp1Cz zd(#x!R245wJ2z{r5OVwIO4u{q)r4XU#E=@=)Ck5mP!b})fi&}u@+|iLf`IfGD957v zKsla}**#vl*<{>8lmH0P6C~#=JpWjal`dOQy?}HYsC)$L^P((b&!54j=vVSd!s`Y_ zjV1aNi?)iQzGQYwYZX}T&x4Sem$x6QQ8QEJpumRwm*H(f$&sOt`#V7e0{y1sYJ8wB zi6NSoH|xv}^oxR2Yxho1+gPB`slSd_tTAWRCj*E%GhCD~kf`+4(oJN9{n*p8t*gby zBr7tNGbf<`sdJQyiHI6O`1j@Y?rM!IW}M??#d!3g?s8894SrR;&xB_igHfiGeZ6Kq z4uE<|q5LE1iBZ+-K}Tm0>a1MVG6flPrQ^1pmp@TmUb1pPs8g>(t%_HWJ|xH2lFf3U zP6cNx>d4qPvY^6!dFiG`A>U|$)Lg{Mod=x?i+SBvTt2EKT*`-P<7X<*&|fS4swvAs zkV^J&$>f6aH@M?tJx6EPh|Hw4u<(p4J}d6{ zXd6n^p=*JFk%w~64w|i#_gai#Ar(tTfU6o+*IKz zKOi}lD4dE&5ifzEU!euRv(%4U|5~Z~rK8X;z|zRH@WTU5bFtS}nd_jNYnOQW6VrdV zZ(1h%DN*F{)|jDBY*Ea}Z@@)Fo=Ox(J~0I@;=f7q%TXVo3u|<;kZpwm!3c`a%i-RR zU0bM#@C!Mq-d5^=*VZE`%85pETOp2aB;b175m)1$SaXlk(;;O9?r54>atLfe|!^{C^(4P6!c*$M_CwKysueZmjgq9wC-tEd}! z+y3ZQ*qtvo?>-1yi+=pygqZv~zmlP_KloL3;?&0lL;&7ZPq$lbRn~9q5^sDm-N7B1 zw140xLtpWsr-ubG2BK%Om?6^w_G&H814-efXct#L{2BnO#@;0yg5(%8#BbEsYa@Qo zZ$i%(GN^a?N%kY@_0*Sb+AMx9c3amRyEeQ*FuMij3%38Nx}BAm3Q+)tR3)c^Of3VP z-I`HJA@RKt45!TI2Ax@mK&FrDrMcAZYfA&fPG37WeH7P111>`_O<&9{K2Q5wq&}IR_q{cg=hK;S zD?j2@s+g|H{_Z3_R3=71s?!{(3FO}375EFd=96oZU~kOkg&z@ccTYy_32NZp<`pbt zO~)R@jJ9+K|Xg~{ug zHrkMRi!u8{l+*|2=uTVQy>Xx=ZRXmfjdQ+&xTb78XxdYaNTTM694(NUL-5XX#0*d$UA^GrG*gj3a z4IStGVgzVnPR$LS(dY>QVIu zTv$`IRE!hMonb9bgd{k{VIwUBpp(@`Jmz`ae2(&MSN_J3EW=Eh^@}6UiW6_Uk`acS zykW{^aW`D^5rvGMUy-rH`Rc6Azf%WuM^L39cDA)@g1npNo(OpebLUQI@O}}ty@v7S zY`)?+H(2hxnGAz6T@p6tkq0(t7L3W&!Zv?rs!!39>X}`^ui2}n+ zVM`mAIrN{`qySc8k0kZr=_M)h)~dHfk*q;O{4<{PT=^X`c{~n7lTq;wX<&B$Z}NPk zo(ZKMdjt{XLYr~w@J$Zh{S5y1)&M90LBLbjJ`3WJX17^G!nD~S|Ui_Z| zJD_;#rMQL{)@Z=XTXGFLWAld@@6>>(JuFTz8wuY(R`o$tNiM%#&=~6hocUXu^$5oU z98HFVgOKD2Oa{SAW&iXIFFn0Gc6v#>q=y6wj^3#m+AgIo?Ep}uMJGUR=zWzDJVUJ> z`3(@dNS3XE3B+?>D0Pu&X8ZxsEaIhWVV3+an&DAyy|X$9T;KD=R87+RFDB;zJu;h_ zy%-57TG)GETGs;-&u0AGD34l$L{ukJV20l#pVIM{5}KqR65RRGoSE$bS(2YW;Z2_7 z{R1QSym+rY!L6FaZ+|geOC$c~KAV+)4-Kb+3_;~uzTYI=tGkPIhB&)vs(*DB5xrws z#qxv1?l*q{4)^?CHAUG(T$v~J^^8fR7*Qz$%e7gP{UL^kCh>2w+yceFKsviYps=$Q zKbP%1>lbwIHCop9I%KcSY9D9?Fr_hwsZht>FE3bQha3D@KRfI zD28!D60!{i)GPgyxd`te{k9DWq79(@cW%kGdcud;nuyT|>U7)7k1lp)>a@rdmlZ-j z|An-EFQjkubDP=ZwYS9{S@~vY>al7Rs%loXB7U{YgqX6E{I2|re}>O{FK^_s+hKCTE)jNVRtJrJLWfaVH4YH=rF zo)vr=n;<#@K&heBfHRC-Lw2i6x{aQwdpMz6way1H;HE}o0cvB_HFOk>Kb$oWYYS>d zM?+>Ufy~NVCPJ-|C$c{!RJ)})repTnnw_v19yf7vEe@HP`B(f|qPotQnzn7MzoU>j z^Bz9pU5yO;r@ozd zlSt9w+0^4qgoBnH?ZT&ri@NNcyRrK6-RxHpyZNvl#dM-F3!XAsW$7(ak1OIWFyQN9 z2!Dhkad3qFCqsiJG|FI4xEjh+gsV^_4Br1>WM!kY-s8%gI9;`xLlMOgoTJtf^qaxJQ(v>~10l;F}>zm&6AQrHZMApKRVkj!$kBfU>qU+x84 zlR$*4qAzE-KJ%*8-8WsiVX7e;2AC5_I&_VR2gm^1Vmq_E00>Mv89|wWx$l1$EcFrf zP6`=Fn1=&!x`pFqvuEdEmFx^JUW+wV-JS|e9YXj-Hz`wOr9NDN@K#UlITVK!Jen+^ zmT3>dVk8fNl|5l0{C01uJFHFJab3l3MbOc0UY>-dTp;{?PD5HIwL&_29HIg3W_M(t zrXGUV;RbUeuX#NglC)+=ZvB(iZaiVaCxgwU8?>iSa|*OLThiF$M=7?(@|2`i)Y}8K zwAO)oqYTCD&xz66fJt=-+IOvI^$$r1#J+J85|vmwggVZz+QBvRQYuaisb#QbNnj_&!={7)V-|0RieFYR|FeibM(R3bJE1&UIAgt4!{zBcc#jTZfa`Wa*^G zVwuDGm^wJI1uGmS2zu&4{~bj7i~6O|L|w}6@V04VHsDJTuCo{eT&Kccl5jbCp(}Kx z?P^)FO%O~q2dyU^9fS* zu`5w1oZrnH8a7(VK4TY9qMY&@QNn6ud>C1c_JeG;v0D6@4coi;a>GB}7z^%__D`WM z5O*xpznS8{w%}7U#GladMzdK+-rR0ziOpCH{2OumH+_P&g7_z3&}znA=A*g`01Y?Y3rrEd5j4Ol8#DAY#^rZJ4{%wLI$3$uZ%>`xN828jz{AjWo z=J{wIuWTVp`k`aDY%A6{hv&bXg}eW-*Qg^u4PC5(F~z%8&{BBLh8g2Aza+|Ssk4pF zOSn3q%lYe3i+HMKn5ZwGp54Tq0y=3Tsdz%s_^>Fb#p(KFwC7i6=hDDW(R2`UllW*D z*7~2KH!!e!A&XxWYH@Nx6Os@iH5x&5)1#giAP{V`q4bo>K zkUr$@dxN;pbS66E0%*9sI_cvvClcl79APUmNrTXC1hNS7(j-mbGT5`Fv3_}>aPx1` ztBl#wR~ne)9V8ZmqHQd~aW%x_J4h5p^iD%W*~Jh+AWwYzab{x(88E%ce9w`hD~Gd- z|Bf_}nq_~AK6hO3`4P}^LQ|yroxeJxWKV-&M8)h*6gpPSUP6{otESOofM^;zm!)*` z|G|cLzPaf2FgoA>w;lsqa=PeZ*t_*)pMKU4KFFu+4Vq&`G8eMwCn<;!3$(~o=;^>) zW|&)YB*nH(JTuH>Uu@&r4!zrmL=C5j5TMQq%{H#D#9mFpCoxF((7{D|+-LA_%4AE` z1)lId%>glRut5Y;YwqvcE+Rjvqu(@hL5K|$IMuY>sRwrsHM6+NSge>l<{lIuahn%^ zJR=%M2mx(_>>*hLR)g@~yNdjs-5wItKZTBq-ui2S0Q5Og1G5Tm(kP6N&WikL!&I7+glyfjK?Zj6i%DFCZ^N4cpU5{)? zYjcw-9N2hj@(;{7E7~H6gQW$Yo5lBE#Z8A=_0^+x4LEX~)xFctx=}g5kngWU!seu8 zZouQfP-D*@vnhoU6#{$a7t=(3$nj{9lU~@&7BVPHjM*_Dcv|2E+`h>OC`j%tUif_0 zv~Ph^AZ=*tQCIzp5DLY9wS|MbFu}NR{2|5( zn&LBF6^>ay4^es7{7>b*1vFrE*RNONA2axldLm~ts=oBlHO5rFMWgb{cqFxQFm3_Z zi+)XX0H1~ueuX(i7q<~_0_zaAWZTIV~TAV;h8(j*ta^%>#M4<>L!yEzg zMs5X2=rmWaxPcRI6=%*AxKEQUruq}>zWPNY`tHHuub^G_61CdfF`I$=%$jJh&)XBpZ`S{TIj59`<))~IqG1{@Sr5jyhz&c z)*8?vbEawfk#cc{*o2tRQ`u}K*;o^~d?W3QaYt;T!^J#S4lg}Jya#x=5G~FRLxqZ` z<}prZU+3I~kL{pG`fx^XTW;-~$zDvwaYeG#{AQ?qPXrr|ZsRe&+6}%ReXs~cc zt9UWSJ7)IZVm*VZP1wjRvPWg^VcecIK#SU#Q}*@Zl{%U%fNTOVmy4qM9_MUhN5tvakQ%<-f8CHeSE8ix!F!b1;A;3-lRqBp_piMvF zEP>jbrI)76k#X6f#}IBaIayevhOK;jwv1%)A!Q3HdaNQ;;S#a z|8bK=UPYq5Oz<&;A+@Y9peu4W3O;J$XRkQyaOFD$R%&1_;@ujRZg|ESn$8VgOh?k; zffxXxU0u^&c|s3)zP8&7k*P%&fr~8qrtQQ20-`OxU$r2h62wY>%e?F3)ANBFF4Upk zVP0oSYw+#$peug!Rc4Y5p*|qKoEkmN%645I0@g9Y- z9>1BHX?Hk3asNf)Y9+%E2;Aot9O41Vrp+=jjsQz4$NhkWwpoVpBhc?vaflughLucw z5Q5#1nZ;{w#kbSV!EGQ)fqCQ7jDI)kyf!i>4l!%2y_TSFE^fDkHQY^aq8=8SK6>*^ zVax;;hpa8 z|Ds-lqeMvJvb9&-f{fdTV{DDo=zNWOcnf~QStE&gaBgp`7u$t{)cdSGIkHb}=nyyT z1ka#{t0Oihyd&TUaQT@RYi7uj>9~zqpLabM!$7=>Yt# z7dG5*OSO;E=>6{!k6maQD_wAGc!!;-QddT?`EXR~k`A)@b_6vVy`OG_8?+)`g2@fD zEt}_}h0({C@DYQI7$H>&5|2cL_rEY_v<3;ab9gBXvGQ>kV(KDZk7VU)byi|IJ8bLk z0ZnXlLQNAxgb{y?gFDV3s>v`j9`o(a0+P{c) z1UF@}24*E*(7JK*80HlqojJ<{fIo zyPb%CH?ml`w5N9yp3;<;KMsagEdVz*deqgt23>I|!MCWwmEtKGy%gdqz9tTX+5TCJ zGbU*h1_8PmuRW6Ju&OH9m3s(mMa5uj8}|D0`^bL)&RN+2&c!!BvBiIT9HJv8z|F%4 z0N&Jcx5VeEt2I6rak)_5$G6)o2LLxIZ&uq3(3dC({?2^)4SySAe2^8G2!kDQ;42Nq z-*+UAk4t+mOLP!_x+i#Q*-g&NI4hB5NA=K< ze2Y9^JP)r#oIeffW{H&Y0IV{6r^NvNku+4oYBera)0gTj)d2o4%2>^@F(HScS4#h| zjXRM^mg3{RfEePgOlO^q_LTe^L=~jg1r1CZ&-|q!_JK@1FgfvOkc-LRys|}*iHp=~ zaFZVO-oxdxkJvAt{<>L7OlOXFJ~XeAfneU?1A;m6^nI@7TIScUaR@WiMnjgFsWg5h zqrw+?-KAB?-IojAzXN>wclFVrYN@vWhenngb}|ALMZIkvAu}OrSh7XUSd2W6r9sub zrR#m;hH@mqh@H=)X^tBj;f-ADjz!ID*Xhv%*;><@$h_yWkb4qviT|8JX=Fo;d)}*q zP(uazJpZL)JU#@maL5bLhy?)?jqe2&OVpqiC@YnIV;b!579+K^U zf5v)Lt(!II~PbQDTx1ZBAwC_Z$3!h&?Ru1K^Ct`x#>iD#K{jq-Qyhjq*yBurt=!q5LC~%`kfu zeiuAo)-WOw&p!H$Yc^pGprDzmZ|YI}C`un-P;Zr5J@OdE2}jh5Ir^Jo-rAWDKM`9u z@ej4C$p4!(@vR6Sv?x=SbbdVS?sD+1!zyXkIvtls4J;cf z1+MaG7w=I)?2ltJO*_QqGrfAMLXB2x9T|XmumXvO*OVPOYcoHZRBO{Hv)Lam|G$~zr-95IeONn@YOd5mW=`qjL4_BT&4HL|7KtN-A6)Ec)Oo{0`7pj#eEsC-`GDm*07xg_5}{Va9K z0MqNHM&&Y)xM}}v7g{;uz-1Y>8-I>zl2$kU3~^c3?xm+R`dmr~+y>l)@F5IwoqxE@ zwahexy3p3*goLj7Q;2;vNq^pfFh5#dsO#PQzp&}-Z(3T2fVd9P(i*O*74a>l#lk|0 z>9{9Cje|FmW(ji+OevHK#DI`*rp1Y!SS~zldn72ZM2atOoA$ppVMEZqU*Q_^9HA<1 zn6ylnX=4_sO@A^X&q3M=u0eiY$?zCgffz zD0Oe$bkwXblB#wHC~{C2Hxg|`k1*>>ONu8m_==w$Il9vH>x|gk^^MMT#^Qe_IlF>f z;`4a^ND2SD8|OM}X4B|f-xd-X*o4)c+1%KxU5MzFjAVY@uQz!nAW@nca5Y2yvOV>v z6kZO??TGN!?R+gzhU~YYs*0BFFChAM$Gn`4xzBYuxotO{dt2%&=Ch|hqLRNVSMb*s zrEz0tcQnT7wcnQ@+cmKVi|Camxtd+EeRk#R)b;z|eSeew9-w^Lx@xJwCD>&iFurbv z-}>j8QsE$&5PCi5#NXhA(nQ2`Fq@*HG5D(A1>)dmbhQxCg|yF+Aq#7wWs}iLXrB%G zhQ#FZobWWk8hm$fcj)lh1t1Ft4zCg&=~mvHbeEAtb$1ZJ$6{YtL)>67e= z!sr*3wDH-6EVcK)mRb6d=gqA?$#X=Ua*=h+(g&T0=o7f`CB-Q>uHAB{Kp494W%R<_ zja|WK0>Kg?(QuMa4EfQ?GCz*&S7tl1;X;z@rQ5mt zfti;r0a;dEon^w}GHNZce`d;lJXs_@o*7(?lF`?Lvvraw8h>ODI+#wk>=?AiLaT4) zYJb*kUXHk03tl4ry&QCq?^)0N$9EzWIJ^$IEoT*b=n znw}Ze*wh`SX%b~w_w>a;)vDe=cmJ`TM9C=f&7bRh9CJ1b&9_Dd_o2)(pESz5vh+m= z1`7lbi7@9@sRAs5!S(~j90ehyKZ(IQRpuT~p0MR;``_?NSR*R3xl6j%yP@y;8mDtN znRsotI31@C;Hs0E2c(KlsTc%mSwb!k){wk%PQZkS1T-`nW^GrXby?VHJxiiq~GfK6vK zOe<*VXceMX6EJtEr;J+4FfQ3aK?R_elgv0*C(AIs$;a8XHTtURr}YEl?MdtttUfRl z8RJp|+x&uXUpF2v#}Z9h4<_SdGMbIwi4FD32Aj8>D9H2vg^or&>~N&%(9yx9jSp=b zEx&}e|0hOgHPp6yUnq$gu-lwzz&&z2FitTgd2i}~_w&5Q43nJ*aTkf&6*a53T|{yB z1eqMVE{=ItB$>59#8mz{x2^H?vDw6rEFQMscIP?L7NrxF`K5%fT!Si)Do;qTtLCki z4TiM1ejyCEhF`wv|9NMEo@ePb8FmAO89U6gBgEdq9+=q9QuJi@?p`RlpHPr#UgNT3 zp4AtJK_ACPWs+-ruC1Lb-)Ti0E}hBUcK@(4>a$FBs~Js=N^s14#Z>?PK?UTx$Zw=6j5ZOy z;C1E2LgQl9r3hIgF`_|V_*mQd}l9pY^plFh0w84o?Ptg2+&-=$bjzImgvwgk%^?|K&} zjbp6=^KJu}q()#jPl*Zk?-rQ(9V>;21m1DVm$ z__m<04~O18f^}K@i7JvnzQnxqVcLD{oF4Gm)mnvdsVs-?;Cog-IEHlNhmF#_M&6wX zc+c&&3%H?qt|&f5FszZ;IrXt|txG!HK<0&F7u-sSznJ=zPSR857PD@v3ca50|7?AQ z{mC_D@*3@9^K?WYe>E$q<-d`3@n^dLDXL5LM}QrjQ@M}cK1@tIxy)3mxF@T3SNmEf zY=S0r;6sm3&XkJ0fZvsM@oGJ%raP>J{pyY>Fuxc3?ogiF`XQA17(9*)|m}G;hkw(u8I)fc$}cx7ScZ z?YPFT@ya5pIq@^x0~^z=(MYf^G39dmN6Yl@3b+|O_#%--3M-Ol!&plD94 z2tmbGj3TtUt$80AtrIe&`3x2+$yU3G;SlhYDenUE&hpvG!BcRqoF z=QgFHy_|u9+G(Xn{Vefyt3LAN9ZnAB5_=R$@3`BSzQ8Kb_oM`Kf#~$tQMNlyM7Au{ ztS`^x^%`U;XE`pxl1bXU%=c?vn0jBxI3zLM#gCmzcuostF-CKE+tL{FMTKyZ*f%KC zQ&PA$sCYPj6al%4le)6F4Q@{aQK zI78$3vAdUF8D22^vHW1g(Nsmz`cH2^oHy&Fud^3a3|7h34JhiE@y`WlO<_fimvrXz z?|zhr1v|68vRBLB#*|hoX2PUy#KmM~H7=TdUS&y~wz{}4VBe_!{xGle&LwG8gHn}` zX(gBWgtpixv)A4wN^+g0x|2niPYC%tAGeBH-?g(lND`hhvAC4wow~%5vjcS^U?d5S ze*|?Ll=x}OT7vyV7kbyjMJH{Rk+9@Zv={T5m0pZ0drJFaUXIt;`tsCORAuKKuhBS0 zq*2r@4a@=CjOKV&4PklAfy8JxL*^hY#4q}U!()Kht3nAbBk;0y+;(Ry7!GFc9trfU z7aOqsdmcB(o_9IFsoCy4_YHlSeRnNrS0W2i<5rXBv#8f=1!G4Qx1r9x z-X%HV-pZZ*_%qb?UU1FFVO2S{>xY1$>tYB0qf`F;U6CEvSb6?7%B{N|XayX0m-g`@ zzS7un@vqASNp!6NYku64dRywo+APko+~tZ|3j#8Dswsi+)44Tl89aWke343mI_P%&ixlK+QK5JR%IE(ppeVty6zYT^g29{|T!8QA@ z@tyhpG5)fPi4^-xl_th*r>rUGiV|mmXG?lkNHQ)3`LVFG@8{zqmMH(mwqFVEn2&dj zc6yv9dQ`}`8e}{|Sg!ouD>Es?PftEIT!N0|;@+>|7D~Pn@`qL{N6$PEzq>hpA=5y$ zMtnKP%WNR8;w`HWpx8Ur^y!7Rx4sf4Ot)Qhi{=XJp1q}ZiZH)HX8bi38RJsekvub! z@PSwL^z|J*QZ^oSdxu^1U~+i@ahdK}IsWlz|4q?sNle7)Ti@>>WhcsXa<^1q#;0^l znZKFZ(3*~CK5C&AZwYTkpYf93`C!tcQSU#lTuD6@;Fo#0rhy}}dI`s1eo1S}k0R!q zj%2Hzsb4NUJ36!ZOkYADoQc`Echq_`vl{eDfVpL1OYt;8;k}{sbk!4fG++TAls!?} z+CaLMMSl|=K=Ay1V4VknCpQZn;(qIOAzB? zbm9qxy*z7je^Shn!LHWhCDJi@Pbh&q;YZ-!cX`;SnYw*FEqG!TU$Qn_m3D1H96L1!x z!W|HVTKvSXy{`FjTkAr>JITvXziz7Vhf%U6CndesrrfMHCPFad)KT2BP&$*0jhPwm zo`*t40T+kXeQra3yU(vMwJy}!it3rdpq{e{;Ezq|&@J$q_pHeTmtemD)(hN%HD!Y) z$&8B0*tQx}^k2dsKaoX4nq!y9t+|pkQ3L2slHB(TFMdJC$iegZSgoP@<{ywo<|vCy z$zH2Oe$o$$J(8ayqMM+;pUILkgGcqnW_np=*g;F0fR z$Hz!BY)Eq6* zmvZ}xhSwvqfV6w)i^@pj;z1+*k&AuE9YF0PJ5dpYYf)!sX3rw+u09GnyvTIM4Vx%5 zW`2MyiIy3fqkb(JJfa^N;a9jY;y>8&@;hn*_=4Jp^)M@C#S3wAX2E>CYoZ2W?k>Z^YAx+YyvnP|qxag*zCa8veQTf~VK zQGDbL6CPw(V#_>sy+>d+`tt~6zb6&AgTRIj<){JU#?^4KvpA=lT` zJx*>jz`Z^8?x>Ic%#(Nc62qJN3G5o}(RS@P2i>-pd+UP*e+*>8DV@Xabr?r4e?gni4p9pn$gDC^c!%5m#{sZL37c8P*}(R3ulEw z?o08X;W>3QRI5pYoa4VMz&jfxE41UBLREZ3^dJAP8RsX~nXAbKfl06U518wEmmXK+ zsJ1xS-m>uXq!brUkixgovM}Y)zU?(Lu~U@J)iL7{IbN-Lz1REJY!52KH%DJf2hxbr zM7`bH1n{YbQ_y_SS&y5zk%>-Pv+ZJY^wG8wZ>h`ms6)e7fP{`|E71p`D2_d-Rft}x zti<-e7@5%^i)BCZeUf@_E|j!c=3)C^wxcO)GGFezE{v3-whsC@HTGVeY_pV~;rp10 zsxE4N^lw=RAnJV$H9_%Ii^Bj2p?r!BzY6y_h=#K7N@MQ8%b11n} ziUGy$oN5hG{}iE@U%!O2sIOdvg>`3U+oF3Pi}}7luHWVYwu+luq(Y)02u0NC?vJ$lvVUg)kpp((2@pfMzNGU{4-$0Aki@hdb-a zM?<-AoNSK!gO2ulb{+6u^=4JM^sbY90bh-x?Ck=a&9v^-g$C%4mjWdyxXm<(^t~5j z&>po=GN@>E3|+Gu$CyXO&z$nhy!-y-ynwX#HOJg>AK;HnPWZ9qw)>4`O!VfM_9WnaaZaG_lV1jk1RRJ0X0h zv|i3a$*ID0(Yy$E-RG(Cn+HF@`QZG?z7!C7*w=c@^{ASiHM%h%@%UFLE$|2LRz$hL zlh-{>h%w2{P`)mczAUMb4dULw-Ke3_i zV;SD{yIL_Mp4JbCB-fp$D5XVjcm<6k4}IuE1aEgCzjr<-s&C@6I`7&Y?|sbUJKrBN zzQr54%5eIyoSOh{RN#kgJKD7-(?q{UFyZcls(R_*E)?UdwKC9VdD5;W{47Xq9=< zNMdlRA^Dw)u#vtYtq#|j|EC~vK7P+_YFVf8wcyJ6_}RZv{15u=`?NUvX}C`}^|9eM zdFh^h#BAyhdL}OpxZntypnZQQ%8IIb};Jha(cEEU>=1 z4oQDr$oIM2`$3CyT5`&4N4ay2zwM<)9;5xZ*xQKwZ-OT^i#+ z`T7fvzh>k>smKoyp+%DodCHW95sjPF%_#qJtV3;O*SL{qMN7XGHxg)igF4Wt z!nm0&5a@%yolW$5dpI9Gq=omy))#kUuo2%gl{Pd5ue~Y!5yE9Ul$918mh>l0oQ;rg z#7D29D@RvPX6q1;8^tT(> z_SfMz=Hm~$uVkBRaW=dRfsAn|_j&^M7_%bmR!AoFrr{th&OgWwiRH&Gum1@eo!f3` zbnrd{b)ySaL8F5lG(uMBXHP9*h1^+Abb`;09Gh9LPP;g5krQH;Z0WERZO#zBxoaIV zC3?)J3DO+T*_gq-H(#tH2XuYYDP!aBY8h9?(pquzYX9GW#|Bh5ToZ>!SamZF=exDy z6Pg^hQ6JT|e}K)o%Z0MGH7oOySOHfuBnqt?t$TvqmFseIU|oLi^m~Zkt@|-{jYgX3 zkwdN+KT=T0uM*n+AnvG)VdpwAu7UA`IS&s4PZg7aAn=0rE|1|GQi>b9EIhp|pc>%i z6rBIPLq8U^u)xQCR5rzAFi^)(9Y_9rWfw)F#HU7@0zJT@M)$Ax)Rg0{K8oFXt;PVDmH!ps^wH#Qd2;qY?8greJW+|rc9eZGShC`n(0TNZI^yr&U;k;P&@8!{h= zBM%Wk|LnJvec4Dja#v;Kd>~Puw5JVDP)&aDnmLdt^m#cG=2wKvgIoL(_%Rt+)vFPx zHNoxnn*AT`8|P7bNap2MtEe}wvZxmV?aLRNrDbIE;6zkKjg?V0v2^+Cb*=H&EL#LkBXzsFj*oJE3|bM)OBzQA}f#i#V-6Ch6-{}} zzd4C<#zZ$oH!TnmJ_E+G(asH>fFv&t_VSBJhVb>@_-NoIe z&fbeLYrm>hD0~(F?~nm|C^P|F=ANJXHnM60ezGBef&UC?dkn+Q7cQ`~CXS5Oo)K<| zVMKbXnM^sMwByjT+Y% zVYg98(qOUgjqWjJCnwn~?Yp-M6_^rBK#om!KPPGxVlv$g*Lvm6r2H)T^e;u%fGu(5 zmGz!7Zg#Z1Z=WWL#=oD3$|}qATFG9aRY-h0;5vk5*D&_VNNouqr?0zn8U|E7V|-gK z{KKBM-yZLmd4doiZo-+3)u8(m$ zJB$wJH{pPq>cUvY-R(=6qH)dvG2lNN|H@c(CBYwmu=6~#4Ly~&+a=HfbY<4b@DOg>PuYt0FWXiaLeZkJ)Z<; z+RB3+vvA275K^X0;y&j^xujLpM?h8WvC-i_&DeiX($;Xth(GmrudY@Aj27&nw(vBh zw=l-7DdNSveQ-To&_+D{Hn2#2HUBmx+~TDl2NVQtWudc2q8u(v8-xeJ&l8S<7QYf{ zBN2Y&aogz&B&Xa*fV%h$JH6_26|}P9cSy$(5s_I2OWIas&QaPE)l**l`Duo6YCCJ) zCQu*iW+G2#BrN#9Auo6&Cgm2vUUvUz-K*82(EI9igh{Jk|NjJ}0~za_f|DIADX-D0 zvBF*6?yZAy1{@H?#K@En2ukc6bCw>u9%UbKWE0*BnZG*mS0@%lh&SOY?_(np7$JU@ zxICvukuQ936osNkrA40h!=2BIQa4-|=1BWo!~LqoaX`NF9p#D&vIRaU8{+b+#+2D{ z2Rxk+7Z_A4l;@z`GJgQ%_!rjY!<(8xL{%GuPp@OZexY_8#s7x9Rizu`FI1no@kU{Gsdqdp6^zvEdGQq?4*eyPi$IP}eNlF>RS26X)kH0HM&{{S`LkD}T zo|y#tD)QOy-tMU@xTpFAkKh+ZP5vGEYy+HMa(O<2Or2>HoPs(UK)~J3SFEDa#J;F2 zQLAm?%2mPB$kRiNNWI)etEiqUYMC`GBeqWua~1Vk&L7Gsl0!Kf87ia~l^E!!KAOs( z;e%NuhM3gC`;NM#uVex%A$@I0Vk3VLJNMCc6_08`yCpw|c1w+NUALay6VIuh-;J;m zC>aNJT{Tm3VY^avJ?={%Gh8k|&e0B#(wdu>^^CKFd>_Nf*oI3;quAJEXI-btB&ExyMUgwuddsnK9 z-V%O#ab@p?69Kwc12uk02^-K z`R_PyR$_sV55yR-H}(NSnSO`oGHdJyss(WD;=f=&eQbTyJk!?h0{T_-h=%y+ z;*w0`=CE=11GrMm$)r9aQErGrx5KtRa<$R+%>Y3s}EZn-tP@@2ZCX+G%Gw!4BLCPWj8G6N2!?Bc=L&cB#Vf*pv|gC z7P&?GO&8LYvg>}=RR2?Dvj$`@(+|$6veH;wc}{%R-TySm4~w?7IDJ^eiRi0-IPUA$ z)IM3xh+ak2t0`df7iY@;c=5C>9aDL;@@S&I*cb0tKW`+V2ebh=Lkr(sZE`PU7lN8i zSRbL2nu7=kiD+w%_?dP;11}enkS=wkrZx+0xHLv zB)dF-ILHthn_Z*x>Flpb$0@<~djT{@r;C=Tg&RlYuaywp!b)+?XyG2DSFxkMn+pNA z)#*B)3@95GS7bB$x)V{R!S1o+e>-b;m+1p8!hxF-(34KfC9;Klaom|oI{wi0*V8L!?`BSRCTiSR*EV9gl5ti@S1*IB|VQY`Sg$OnE^ z)4_mqeUW3$2p~J{=PS4UcXz3;4I0iX6%QLx$8YXd&63Ad~|y|eVs;06uxTKqq|t;&5n{ciI{w5=v| z_)aU^owPWIVTp&@{P1S&fi|2oS33aK^l%Pxr^CC30BXFuv)gOSiFL|j(axpLwf@|o z{q*7YPy8~8l5M(Z?}!rl9XPt(ks7|4pw^U7XRKN1`weF8kF^TTG@DUI?7`3oaC*8A zq2l0>iF*Z{b~E~MiSRufeB5@m!LG4>L7{9I`yb4s^5c*-;{&kZz#A2Dh6%Nli1uOg zFE?+tB2L&_0Q;w_o*r0PTO6DJ*3D{8IP~Np?|yS^O85$@Fya8TxA8ils*s-!Sg?tz3NnKjm`K!`oflr2MP5wJPDg zRg2S7zX^(}=o1D!|*li5FKfM9t;JdrWuVP>z*`(q958*AI z7d8B_)1rKygCC^xakAN%IMHP2^EBeg*J$q8E8HIcptttPyC=&i`1NxSi!nYuRo7#V zn8rlxbTy2htR0Zn-Tm9W(5Tw%^Eht&;XwP%v7hNZZAJ1gwM`KxObv=|HU7tZ=V=$k zSbA`DR=z0cEjHe-icxNp$?@b*;eU3Ph3=t!vJw7z_w6EbOVPpKO;z*67UiCGf{*gS zEtv^HVSnJwafgoMuCR~z<&K5o8Lq$NvvyD&j+0}C9Br+u_sBNI@#hkS+GJOf=>-K=aTB7=uY61CLlEfE-#mqfiMm~Fz{lgkh_!fpH zJtZ$#1u+-Z@9cdj`Kk_s!(LOx)N9fkmM!&i%;~g@B?rxPhnAx<(+)GovF}cC1hpx` zE~~SCX1ZsEIp+et*a$CD$*Jt^UWXI|H6uY`vGw?}!KuH^K0K?)S(4|r1MX31mR(w7 z&pK%nmK<%4lI+S%_3!Bd{63Vpx$R90h?d+1SHtj6~EM|b0* zK1gdHNc;{yd+?O{Sf_T)$o_T5_VW!NXEff)R(^kcD(DAB!iEW&aH>Az^Ao;m{mJ*7 zsAaufwaKr+H_V8iFt+LxgqY3d479gCQKIJCe=)p*u35&yUynb=ruflA@H3&qm353E z!-N$9@C9vPDttx2+k2gMyOSxtkM|VvzvRx#3$&r?{cbX5?8PqbN&9l1KK{&f(N2{v zT1{2WjJBo=irRyjc3RUard3N3ijoqfsv)uE=@!%4+Ua7C=_slQ8MP*)q-bkN)sobb zG?XAnhzKFc_dYSt=k@#J_un~ABIn%aeZ80Keckt6s{FLB&WDmPUA2KRaj_aMb?{Kn zA=!Bobcu&q-*c?(k}W)-Fr=x(pCN#mw7%@bmU^-cTJzE4VF_+N$^6kDa6~VM?JLrb z3^3sp)!{YPjX0ck$WhulA>!lb{Cr?DxV>=EyU2gK zO~2YocBgN5zk8l*SxmxBSyrXUP`mg6UmNc8Ug$c1Y_m0sqV8W$v|Y@3-D$70!eY(E zY{OQ5FHC$Jo1E|=W;UY+VQ?4k`{3ky^uAE`S-YP1v6~cKstilLwsD8h!Y<+N|4MF* zG$cBzo1p`-X>YO*&-IQawmW8X!IU%VpV;U86jgNG&CU#Lib3B%mYA(3=zEL0>FSoE zjq9rMc4!2M(`EiWOr6NfLlu%RLZ4@c(-x7_5es%7#5b5$F%R0Vd{zq#CA`f%NUz-Z z>;8rZGlyB!3~sO{?u7xT=0^1|*ocIe{Ou&33YXj|c|G2{*Ie~Q=wR}O+kNdr*0<~+9KI(CJh4DixqseS_NH{PC1AVjK6qdizcEM%d zKWMQD!i5N{>Id#u6qQ8l>8x0*_|RlqRS0>mrWc8kTKTE`(O0JM!Wr~z=eKLv6XYR( zSQKYQx{c16VqNz)Jei;P3EOsEf56nxRClafy4o~E$-2eV`yX4mt>g3hB% z3B3RL%+pfcLq0b2>~H-B!hcVjvlgogY+eV)p0(M1Y2_B*<3wlOIe(ICC7pzDQsaL+ zKKuunzf<%oOFOD;B!0Ya@$Z;WAx=isvJc3OMULm5-z_3BJW56HvW7cWz7d7Q2HTh| zQNKJ0BIO`l&97Q9eaJRp^86iD9+$4Tf3ZcA}QGTvB1!O@}5Q<4an}#Iq)Kt z#~$GvYv%pVR?kbDsa_XK*C`vhrnVRLOhUitr1NGgC8|yx&VMMOSI_LMiP4BE^Ebrm z`SE2!tI#Et;F)zZjRJ-0gnrKt=J3?U!<}{?Nj0pU&s<{IzJGM42Fq`OVe;@Gt`H@u zxhH86VOr9Gf|Zfad>nevGNQ!ZkLljpn6m+SD%9!R(5prj?!;P-^$}T%~%0F``mMe11sJP)!*OJ;i>%Sdz1gGESn9Pqx8jZ__CKpz2PV$ec`#A|F^ZYwj z>cUXjPVo&byM;j`UgK$!NaQDT{r>JFUH2r$a8cV_*<^zq38XCTWnVfw%sX`kKQFL# z+|!y#uG9ZvFh;~TLLEX=XGTns<&4V>H8lklV~X2Mc+t$N4O7wA6+4=6T?K8`NIp)U zY9L8Q`mk{+xRRAW?EY}I?>fn`^wx_myTjSqbdj;~t;|hW{bisS3Ls1ES6?7b4&`N7 zDBgp`^INOBI#>qRtM5`y5o*3SW2aN!X4H2PVm%2wL2-lO+%mbI^qgZr7fE{MVC$*2 zDbG9dWL1Xf9nU1uq>zM~teG5fNQCi?ALq0_M(t$YJBy-^a&}y?6nLDc8h7lfGb4R&;vXi6 zgZ^AJO=K?LkHiop2h3Xg{FB4JL*5(-=``>u$xzV>6F3I=?!1~FH8(J(Gtm=u_qEZf z09pdUe4q5SY*&1SdN+8_o_UVTQAQ$JCk7YfBROE}8+K}(vZah4G~QKFWDKcDrg4rUv!seAwg8^$5KrKri}ze#l{ zty@ieeYXRgO$E)O(+FoUpwpf$okA*YJ}x58N%{wq0Mar7PnN|?nzwk;;i5@BF;I!`nD>S1Pwt!_UWrFONGcm!T-~Bq& z(}GI(&1b~#_u+NNEI)5Cp_03cX3#l?PXl>O5BpaI!M?Ls8#$LH@tL^cosxlS4Uyx6 z{?jI+5FY1zNReE9QfJRyo*+1MO6M8o7D@e8uNl`R9W2kxW`wfx`D|%&~+DV$I0Gbnyy)w})tJvx(Z*dn7$$ zszb%xa-w9zR7_$5Q5AhEG|qM+{#JeA3;YqfSITN1jjj2TZzHX%2hJJuwOlT4{2B3> z3GBFm&Gz@f${kC=ccuSr4Cboa>ZlUSUB!)W=FU#)=Iz~|=ev=CoojrvxV>Tdl1&J< zM)iVkvUWWDBWc-&VoToL@g0cn!8}1rN-(|4^GmHQ$+Kf{2zgC$axb&()Buh)XND_g z^1#?c>+d^nv-tA#J7qUzlVDdae7T{ znV0Sese<0)Hyg6Kxv42MUs0SJGi|};Dt6FsbgxZbpXqn9l?B(N_;JpTKV0IcmrF@` zlY0D-Ir-|b=Azw1#?;>8>PLI1Z2vP@`Ct;SvOb|eS#r5^alRwV(@UEcxIAEwNCJ;$ zgtHw?$Y(P8@&Od7=r7xSWSwy73n=s9;fTD}iS-o=5n`8=T(ZA+rhV(nQE6>R3Svkz z!#=MtA|C!Cx88On$u_cU{W*m26+Fvy?1Gk$4$~bWx~O|`f6CoAyQw}TG71`*&o29A z=l91yvH*pXVSzY?owkMNRT~h5t^AACkV!Pcq`Xz&)47);b<=uH_c146L{szm-w^m4 zvg4Z!7d;X5>nT>74CV1hZ_1uk)1iT<3l%NDQ=TI$*kJSScr!nmpr+kY7uG+$36l zEgm%6LVXTONm2C!b#5J+UPRX9rg$*4SDJa;LMc;ywEf_9d@*weNM6X76t7WDpZ_BE z2<{>%aoc>BinsFGW47jkYumA0cl*{`<{@V|HfK54Cl5)@uy$7$^dBwAxs1j9UF}US=lPc6o0(N_$o2H^58V6MG-$ z`$bAcY1_2?8JwpV6q@rk)0kel2vd>#t#AnCHI3&Q>=&3MrBo*!lqKw!zD0lpL{s`Y zUN5C=13zCCeRv_*I?$$7y-$C!?n8=EPviOjh(btbO+*em1t4znuQJ%&8k z4)Jsm^z~GZz0PtksBkKTadLhrHBu`2{k&ZmUMg`a_Ev_H4ocm8yqCGy(>1FUOS6aYt5)n9aHca z!rtAy+x4>}F(>Ff23;F4`khs8);u6ag-O2ySWxtY=TG!O)nVdUwqY@9gH*+YDk4X0 zY4E+s>|98?iVfIS#MX?Zy&yfE5C}%ZPdVgGd5Rctu7%P{-<`nMPYAfU_6OIA?A=ji zf1lpBw8~sL*j8SoZf8!7TDFEmm#=!I_}ICp?PdE;m>8zGYqedhyJ{27GL={m`&uV* zf&Wve_wn~&IpN7xq_mQL;fQ4>?)4>QY$)cR`Tr14TQ66xD{1!1MJ$Vs*E> zk3Yg(MkxNKJqIM3{5GdUm;X<_+-p!sud<6t(z%=%8wNg10B>77FFm{1!lU&Ewiy}@@vo0p3}Z1dlKWTXFc)z>>k-JlDSpw=r6E!{W^o;3$p=$5ls1WbvN=4 z(_&>+!Re;`z+cTW{n#}SXLVlYE4##FesPVcLOduz+?wsG3Vg%I;Se65qQ4E$djtB# z(^=Y7qJN@>JH@yfHxio5UCz=P4bg7xYKgLwnwU^w6-Fe|mGg*^noi-u>FHcxpH?>X z9?b~(E0iH=MumGi#f{nRT?CNJ$-YO@HldDnBS~I5eV9$M!!ULks}@YltXKPsV@Vgq zMe6t4W3bGLzjldx4=HwT|H%u&@VU^!xG$Pv5Dp5ugN3^2jm; zsWikoy|(8U{s+3n}j*pb%qWromc%bGs1iDAmw;*7ArBFa-m z`!3XtFLR4g`k_(pgsDI0@jCK_y%^W9(GLNNfiX7tULCkv7t`fLaf{HP%E<9Mh`KdF zI9F{eRj)Al7QQjb1Qpcbu=Tf<)!hM#+g1CS{iY_c#!rhQiv^V3a4 z2Dg)7%ZG?hQa;Cm9{Y`!^2Dux22RrATMym?m%{(zQ~=+R#q0lp5rHZ52Cf6+E#95> zrV_B8CHzCu!h3kHmT#fdr*`z{r$nGZr^Ko1MzSJ@qP74=o;)g{2;&^R&vCx>E>0`i zEmA8z)jDCdXKM6^ndDug=k6L!L1{8Q$-^j|^c&#d{~AV*g(Xw)D%UrRPc7xeDE7wR z2>d`!a4pMp4>McYlh*h-ogh&J)|?0VkNRn4{?kS~`aJk_sExv{Z82QxTHoK!arKYf zSxv+<{VUhYQV{&H=*Fe!gU~1F<37@-6Gtt{?8X~=S7UYI3>u$&xwN|5cseFYD6PsrUi=no0vcNsc*xlv9_BX?5J(5=8D~4J?n!&DQ>ahS=f!%aEBawCCWj~5)ztx0r){;zAu~}tEI(%0eoL&4=0`5&|y{j zxL*g;@H6cia0@w^@nk1Ye6J}Az=63ko?$w ze;vDlxH6$I2Pqi$Yt6e{1z$9|A;e8DjngK&u9YF>Ji4Xdf1#x>^V-eTz}F2Q#Mp*$ zu=e*)XE`d#o$Dhvl5nUfmRJKx|HttIEC0UeDxqeJ8An zPPKPEJ<_zonGcIByW3_fCvHn?c z*b>*uXP^Kc5AF(M%aMjh8A2g4)|mmC9;sO_iXVuc=@g@qcvUB_(o3 zIk#rYTB=*^zcg)?a8%L4z&DAvIl-*TxRRykYCc~d+Ab?ZxE6d#frfmhMKLIP;CGq+ zlodl%qkFam>f4C30(@g0*pV-5c`;&!G5UVYDSSdIbv}4%pyGPM z-NC(gksYP$1?m>j7c>X%2uoGWn_ZZHF5%v)GFH|Fpv|yT(!KGttJvrY!~Q!w5J5^~ zoR_!*Ix}Epp8h*qp+CG%^E3rS@L6nEyb;{Kv1|TSJ%4E6{9Eed>nZ(JYO}sQw&fkH zwvLq_m=Xkk;_&S4K*}xhg&oLbRrECwMUq$?9dho*Y_tiLMvllc_s91gOeh5rrcq!! z*em{q$%L_olPQdWV$=;%1cc*4lBBkA-(5`!<2j0|THg6%DTSyqm9;sWVM5)$DTq(v z-KzHi`~`T1ecsJ}Z}Iw>U;VvSQKGB|VQ*uFv_aZ{l&B)^B($CcH zW}L<7J1I^AkG=p0`Hfy5#(6EjX@c?_aPh1%zp>avv=!#uTs44v+-Uq+C>PQTbLd$c zik-v=foJG=ch3LPo1(S!hSCK$UUPBNR-$X96Qs1n*OAWSzvlcO*%CuAwXV>h2G))X zveG$$FT|t|tXyF@FXlDGA7i^53lVKB>ix=}2@*%paem&mlgCv>mp-va8yAuS>vn%o zHk@iAY%;i$z zjp6tjz9&4fw@Ozw4BY%D&aP~G;;AXC0+8xj&tG%Kwk899CaMtaxs)zRxm}cGleo|B zzAb3EVL3k~cYyZzAPLY=tt8idpy2&6TECj<2O4WF)ODhFdm5hN#B&d$#vh44gEM80 z$Hk0y-%vXGB2t9#zHOvaoBPyARr}=e*ZY6X{w`Y!>UD25Pb(b$jzvxBJOU#s)VNlm z<^d*0%$T6POd+89oe*}tW(j)zg9b(SG*NEMIB^c3G*0^PtoGBRQU%+|6 z$V5bmQ0z!%_w7KIsWSGYh<&yo56#w;e-I{jdz9Dvj3>nAsxl-W{s86sQ9wC;Ic;<8 z=3J+IH~(6C2|X$1fJ-u=`d*n~V19sOW>nFms^~o)i4nOS8TE^a(S8Jp zW~r<;3dHKf<`TEB%pgTv$^usynnIe22x#NyE%(28Z*V5t7TZ@hhN{>%cn=pnI&d3` z6)VS@jh&pYPXBshKLg{$w8G|{_NYee89WwI{Xw|8>N&cgu{a73m9&hPf>%;*>J)v| zuJ>R9=$v62bs}DY8ldZ)P?}*fatRT8Bg}l0AvkEeo@=(ru&eB2)hU8RBS|v$Mr98)G>|B(Np<2jQZh?#*&Z{FK!b`B&gSa0kxxuNSQJR^g!(D57srVHJ+L z4PDP^4BoC%*37)eUnf}E$4Q4QW?-yv4=x1Ec)`@DALIQth zz=FqGb+l`=H?sX-lQ~_w1P@937dowH^8XJy(kxT!>+7lcU}8Cd&T^r6zrccukJP>Z z@fUIYLp@PFhawi+p+ON{b7)O%Uc4!#8^i5r{cx*nBh1|EdieKrK2_nu^zlx-ianO! zrHj#S$9!CC(>5s&K%4eALNpL`fXBob$i9KG|2~{X6}T|R7ZL-p)?@~$WyKbg>rC?n z4g|hISM&~?hIxV@tBreo2p-T1P7%K;JxJ|FP$4xk=2F(YDJkUXmvk!6vS?KACTOwzaqJB<-#NWY z)Ik1RmBc)f5a?Sy7LzNIScIm&9e<(9pso9-wc|hN=uoq^ARah9IzZsNVyCQXsxJhW zrc1p1e?T@@79VBnRZ5*$b9JvvF?X->G6at=e_k@3epTNc~-&JPTlk0 zK*Y*_$thRD-c%tvRVl>3)t`l3Y?a@c!Pf!%T3z*(@#`q-sud#xhv*^^KII-A=E5xS zMh0S|{WZvck;HYtT%Qp%dFAy6qgF^D6WNL>YpZJcp88VjIiIR32wH&MAyTHKIttBi&+5?PMW``E?~E{)G7?;EQ+xSBHf|uFO{ukJk1Jn#&3EGKWX^Vb*~d zMk{Nktz)(jDXHb>%kxThN6p;8C6{UuDb?8%K&153bS=XvB9J)f_O>;n*pp?-c%KXl z=naCq>7zAAyUqFkCmETIQ1@NPG;&R8V`kKR&@~@;q}3g5!gNLOuZ(W`mbY@3{PauN zn<_U*8({RrDc|uOYuEiqM*{K~W#ga~i+#UyFN{bI-5*>;DyXP4qf-t66;)RKY>}@% z-RtpbiyCmU`#H^)?v-b8J)B{R0cFlP%`}N5D6LZ$oa$d1d}*;*P~)LN#C@$RBqdHl zT-ZngmhFHgSvLL$>h+=0ljuEdL{zo?fuO8+*-K=C(bUk_4Os| zC(T4II0RwkFC(fB1x)!{x5usq;gfJUhGwIV_%cFtL!Zd4qg}}B6CYs4-w^^<7!^)B z4VagBHH7+AtY(2U6fj;#7q%d=T07U1TIx$lut}W{LbtOKf#8C&*CqX+^eGMDp~7$Y&G<6;n_PwWdDoY*bz=R|9_G*UB&L9Tjtz` zPH`xcNaUJ>y2@i5(=80e2cTCi>h|_Bl+_=tOQ3pFM|1gGbPnG zwDFl?5H=TNABXFL)`TE}hz9s3!?+UC`?{dL%6!BJ?3fC~Vs+0+I+MtE!fFTQ@r4Or zhA_@olQ{w|5%tfECiS^;-%5KIyyUlFC__B{{BG`$TVpeKPDh~1$!a!BokCY+aY~eNlCgmHHSOXe#pzk z1{iXit^7}JXUmxk3J|1)G-;8Q97}D@!ajF*t^Ib-Jb}tFV;d2f4k(y2cbTt&-YBoR zM};_=s`$j^by?)@QBo{s#z1NWJU5zdLh`p_h(HN_f_g|#eBa3G*s*x{L$E24xia@l z!e!^22$w?y%Wu|_w-U8JWLM_T#an!y+Ba$zuhS)GT=4$=*sCtzu4hl|U5+oB#7gqz zFY7>)Jx=H1ASGFm(s+TabA%qdTMOi|aX(quT{EntnNlsWq5D(DysrZV-yu35&gc`{ zl-ilV0}Q90)}P_+4F@vMNA-=P(s-rbbFVFZ&>T3O+WJ=dc#W#y51`c?os#a$-fm2V z0sBcUL46yVFatblc>xea0(D+hik3FuHIko!jM*Epvk%Azs~+e;AGMx0s+QJ;G@;TP z^(a937@obJ2p(vwT~=>XIV_@P*B>`#RFsAmy+Y3V14@_zCE%C9+OQr+KC(j%Dbqo z)#x3(ByePw_Ie8f$np|MuX&F!wm0LSfp+4juCQEn#LghB%Qh9|Vct!^TY^8&X zAY&Ig)492M{gjOX&P{XiS9JhAf~0!WfjC#^Gd>8L;B*mm3XA>{JOZgI;=#%e%#6i5 zc`*Zf`QW5Q5xqn^=6rfv!-Q#T+w+&tl zebOC0v2D(L$6WMq0yM=M#&&@E14>2|Gg&pR3Uaa0Rz70qrJ8BR+oe_=feCc5tn2vw2 zMlyE)K6B!`_6q(ao|YKsXY~*AQ`M>T#wp_0s1pOJXCviB05z5cl9vNv=@!<3*qv|c zO~AH(qHSHamOxwBe-Yqs1MZ*KC_=PVDW#VE)v^2(b2EbKM%F$SR>al0(q~c8V)cqw z#VlY}5ah!qqJ($9M5emu9x8J2F`3SLZjz)fIX*| zV>gL)E-MK|uygJnI9{Fmj=ezU0N$kWTd-}ke1CJ4MZ*fh<^j&Dr9-%oOG~^S>MM;0 zA)16R*z7==Ywdjy>(n3rDbsZ|#QTd_O@VMd^7%}!n z@QC(*kh}XMK}EC03~XNr+COh_+u5L~`Htm%dxll&f&k+GV{|VEkq0(jEwz=wFly~&JeBW6F`^&S3?J2<&7s1 zypQHHf89&*;xx#1g$&@X%}aKrqK(#<&zqKO`f=pxYFV-!d{!1rG`}1&oy54McY|}q zriC$c!Gun7g|{|(C~RBRyNopVrZR-9=czC8tv%c09*%~?h&6o|0Gt`=j>)DgpA0xb zg19clZ=Wz9JUU4y0J9#lIJ;?De&0YpYV}B4$y8rs>8b3<$8wkY{$HpOJA+Dn-m%6f zI4R4~)1LOuMkVGRuTx;wn+HcT6}_s?ZaFK~dR>b^&{JFM>pKBjh_TgcsGu+$Q6jtuhnxvtU42yX@lK zNoQ?fP5+8NOJKs>vk!D_1T!GK?~(Y%a7kCKmF{GHWAa3*glbdSk1YB%1@k6Kpxk~W-y}3 zau)HqFYwqV7nY)eWp$ggEho_J!r7~Nvbgl&Jzm?h({L5X8m5C3SeoS`_<|)6FFD?Q zXl+cL`Fz!mGZtv^95tybI5EPg>Uf)e#$EA3TU6cd)y?zV{i9*8_-}vy?gZ2>sr#VH zTnWAMO@m%O1J10j)F*Zq4eJYEQ;tT=YTd2zAJNaWNK$JyDIB{;QrXBY%K=WA`wMnF zhk7PGEtG87$I~hMpVYMr7H%ZPIr^vuGrhdu<}{w^5VcUT#XfhcjnE~m3`^PI7KWyM zP+^UeyZ-dR*g;_{FPmss?LLw36g_Wtx5kpZi`SkkCN8-&m2_o_K3R%x_}hL40=HOa zUdJG4AhoY#)S-fajLY2H?(BFz2!Fr>Kk=XyUMTa8U!1BKI9QbAAjVhzq*H@ zz_s?*#L0Ms0G)uH3BluI@o8UVNhz6jbBE5!Kn3TXURW#rsZ(->Nrh`(%@fa=Cp)UP zM_wIJn!eb@JLNqK#loh7=`onrzJ>cH7zhH-`MIisAAw|i&h^t+eE$;|yLG~Z)aq1E zWyBcLV>fG!egN}*d_Ou9xt+ID92WOoWY#X|e00}eyvL#W1$g6}Uh%D^XDL`}-Vw9b zr@R;SXUfA4Y%H&_Flu>_ ze+nGlt4kl;ONj<(#GWx+${*zH!(-zu^xlaaZ=OO}g|4I3eGwl*kq1XzO@4R%OHLsY;&g-Fr&73Ls zK8e1w)CK*JdXIrS@bV?ghy<;>yyfbB)JHpzOTS===$hs&2%37ciQMe2W<5dcE^-y2 zTHZYt0xW;#hEYEXk*(vCU&46_T>em7%y70nx8+)oO8Ex|Nh{fHKJ0T<>Mh)7C-SEr z=wR7>WTDq+r)WO*=x%Ofau@64_0pa|?K^%e{kSa!{V^gf*1{8)Ar3? z87cRz6qnt6ce$$<*6uY7)+*@V11IhzyNQ_ftCppQC-9}2;D(PSZY?ap;0}bc3v~49 ze5C8&NqIYYE2_?vTDz@?fU8)QohqyD=Xcak&^m=`KW<7cQ9q>_Ywkvf{_R1@`#mn{ z7zJNd@J7R3U;-XmZUJL=b_9E02KTv3mX3h4?RP@2y_{5o(%NV>5>f;#5{Gd-1=s`o z5{rYtcG+|0lVXRyW)fod&yf#S=jz=8o6fU-+`%5xmE9+A+{5z_GpH_n!V(uGZF4r7l2p7*r zm>vz7`lpMU&ehHwncC3W8<-2!eUt~5W$yhpm`*2!6QRb;?0W}35wzR$tRUHu(l#w8 zI8&ewMFIR>F6tGZ2k*h&J1i62WdW%U65U+e#e=NYQmzrdQ~+3@G8gc{P!Vt>fcCQ9 z!Y&Ox-&?E~qNPtKJt)xxQ872eq;D^nh3IXQ>0!w1sLwO@nDp$*w5vsb zCTMv-cJUtW%&0FlsSLzYUetOLz>^?BlicZC1jZVAl2uR^{RGDDGQy$TE}I+H5x&FNf&;=$P5xeBM#WXPl`_}3 z_2}cXvIOw@~_xjvJ!SNd=RhZEvXFCnBB!ahoHq?ofcFi9E}B%0)b! zpAP{qaM;(1ZvC_&(zmpI%JZo_RTZrgN=>UUDB)X40|C6q@ht-&Hbj1{hA=hu6gs}T z437I_`PBOU0-7=~Fn+JnnFh}dglV0fZO-C3*)q@02%Jg{)KeRob;Tp3K{|&CJ5N)Oby$=Sc$PP z2PMX8k18?N^Do8>9zz%#B(7m>_P^#El^E;&7h{})+5rP2^GOI}R{CUvmr9Hc{1jo< zE_7=`_s=2rP&NUK0bYFLYLK?wBM4*F?}TbitxE50E{!y%t|J>kMrK7!D1ipN#0K&b z{8Dhd>b}1n*tOT-RqT`r^qGMqc`|6=6x%BCmcQmBnjovh%s49VA8P^b|HGW_B;62{ zFa|l`_5%mgeUyRVj_}NtfWMuzeFm?=0Fzwh;yv>?!YU{cxPE3*| zFUx2MUo#qOHsnl)@KvR2rULZ`DXZDCp4q*#%)hn&tBS7Gz-!S_H(MTLi5HtjX(N{awtfJ~ym0>bkX&&c zZ>3tj!^ugSHVxrv=fV(J&zJx-L8PC`F)nQ^lWt^4yOj3(5&DY*G4T(@j+i11m3EmtwTFN5UTIW z=Rn{hrl#P6fsqD*k#jkAznO;6 zyn&5WUsm=@kyf9XCjsFqum?WR&!6anQl;FAsEY6p^D=?_XKt1SG6BQ zL)h;CzB=%qF8u{SnxyCVD=~zV9yOr`U-TYsg`k2$V$lV)p3fklk-CJ1ju99YF#6$T z;IT4CLV_V*DD@@OghR$vKl`_D0~mWBu-vFJ2$Y6d$@;yLpDIIE>{`g;C_`4G1qfO9 z??hQTDXr3W%_@DHR_-;s{Fl+)1#9U4h;vb=#)PKy4&lw5X4c%nD2|fEjOCOZ6*H>L4 zNWj0IR62%PRrFIO;v3G=*2{jT&DloB(Ul{SHE^b##bf)wUAqh%0+}cXAZ1>=Im>RwXQtK;@e@5eRaV*gMm8Y3FS<^U z9Dxg*(f4Y88#(rn+qsFc(lhqu9tlJ?CKusg`D ztmn_F0$ixUc@PrQz)tO=u(H9wueHV}D!)>H^+I1&=I38K z*=MD`Q=Te@j{gnJNoZz0nUHvA)j?AfoUQJf{SaVyWwdVL96|4Bg0lr`qyw4*P193F zlm}wB7!|dPK`T;ap$8~w{YGUCJW~Ck0=zC=YjcJ~k_Q31GZ@GMK+&{64}c`R@hP{M zv1cLY5>s=*B^tyO@nt5EpqPfQrJkpC@K1iee;E8lybJ1P!WpA2j~lx_MShwiD+5a^ zEsu~GtgxJ9nX~RH$%5;9Y&zL*EiHqf3^cN!R%+EL@ncd~y|xW3ka+_h6$yoTFMR(IYqGv$$JZJX1;pAUJkzHiqAMQ@dAxa(-wP zPS>((=_`kVdL#%%0u6UvD1q)YG@;gI^wiFS=Rhxv-kihgScWDa1>%{lzJtd(QBbc+@e3%53H26;t*|AL7j8(5RCq5q7`Zz{GBbSVX zfT)B&Fs_aejcifVj~}9QV5(c%>rF#CRpV`1Dy%wNIk33I6b!#ewcLbs#yRH^^eo>Y zfJ)26s6ZJbK2Gd2qQ`E~I_L?KNlmLk7+X-vj4?g74?x=!=3{ugx_otAD!_a^j3`Zp z@EgNj1jNm`wSXgT8uLI_xwo z^M_-jE4wcgCA^;k5AYt0(%tF_{^}kqUV*BpUkv;rlX1?D{tjcSFQq6R%>LbU$UlbSA?L=q`B?rrRN?%ZR{& zYNZ*FpCW+i7)U4%nr=3uK^e;01H^j_9{*k7fSz0PRfkGIM{ZPhNr`8i&Y;i%bdCi@ z;MqPG{o{hFY zm(y)b)dD*Uq7TSzmw0cNK?i#Sd!H~t{;J#b3?W({Y<(4<()dvvMyRo(;@@a(iBBPg zxLZk_*^voa;1~qWdsIGI{|l)5+8Qt6>W);WIuaMs29*V$&nLxcrq#yrG1h&P1cD^u zLwhi6<-1hgJ0y(#_OKxb=>3Vhpx-mP=V)mYsCFhN?cNI;n_EF(E8&|;?<)&F6OhaC z<@Vs^vKr@iK|V3>1QA5D=CsR$SmENC)bd+3^cqvZT!PE zpZyq$wAH64ls>z2o#3R|fR%tHt4aZrpdXYtUi8+_$%q7HQYtOYKd-^vJBzGx1=K&U zGJ)u56aU13c5>&f6ig6NwOk}$$b5_Y5r}6%tttw{(vKG@kv6iB7Kp|B<^KwW#ODem zLE`_QBoGFrp^sG2{Tl8yP}J|g{Xd*NE5g5oh@!Px>Dj-vQv6!2^hjANkv*U|Icna$ zMiRw+4*{*(7zEMG8rNgrGH7)VtT3k_XD9D`TKaTHS2;W5R{khGN#(G?38iWaS}ZXN>w`fit<#1(n_e+C51z& z$wi z0maVV4Q$?!GwDwYP{6b`t!(}Xb^&+JK^aqRzTnKLTflw~rkfa*e&Ha}z4`^K+Z4OQ zC;zBh?=+`-V&xth&ya=Zqkf~f&7l|So^{LBB}Jsu&AZPkqzL{O8|uXd&V6#^NAxi5 zH5A-NHrmWwZS8Mqt*8A0=8dGM>vbIj4qTQT05uzEI?xPDi&lyRTZdocV$+(_!p@Ez$C}2FWP6kPv_d1m6X4dtQ6G_*cjE! zWJQ0GO-9_u#DEyEPT*YQlrv*Ob^eSz`CK?;v9s%&g`yzr&VLwk+h(wCQQ}8I26q@_ zLv{c@xwZ%CUPdi_egIZh4Uq&e#b7i?FxzCHLDYET zZ}84=80|}g6Eh$&8fjiT1v6g&)13|Sx-RR4|Ey6FNx-f0!V-bGLK4|c?1Z;~Y9Y%) zv~=zPE(NTPV0FSsLNbMN(E&ShD&T_5kCPV9<;0s%ON7}Gm;(X!bh6rhBDXAslU&jO zVduI+RWPOD-lnv}=U}GRVbUUSeEntXOsF*%8z7qDn)D8oyF$k(L+H3>tseSOb_=?F z@NKBFyEu4LT2^DB3m|k3p$BHSuXZ1)hD`NFoSqa!E|r=2Ql)X?*L+0SR&?~pk*Qv_ zs+4Dbp!G0!nB;V}BOl`DQKmvI2LpLKE489y6b~2`{$aDX=xV%C)Oxe=IU^WRuycZ@ z>shqeG=5TQV?xc$(CGOD=$n*DQ0?_1b9{gfveXNdfm0?<=2xMI*=Y=CepnROe5^td zigF$LkKfFF!fkk>%%IyRq9K%3{%1kn3;u~XL<{*x{H(qc>N(Fxa zT7~(XY3RCz5{0{{%&?C@8TNP9?4or4%50FQ zL8n>;KrXW{VN~#2cg-$>`Bu4~)p(Nj7byGqvVpUTLkRvJ4*|m=C&`#< z{~0c6v8spV00|rDhu)7I*5tpe2XlW8(5-i6tlU@!m3iog+?j_{vJX%Izy}kejo|{_ zaG)0@I`ENLYr4s6H!y5Ohjb)1O&PEFyQLUt&697}*p$ZV?pSUOd*m-DU}1*OC{0xb z!j^U8u{BdYbrJBIVbTAxX4}|jLT~mi7ogTyGhoNV#0+M?vZ&X8>`}5-)H4rsi2=U~ zMH18-+{1*Ys%YRM!7u3liU%Tv&<7Zqs( zWQi8n(!u}ur)zM3k+O7+)}U712Ui0`{bsh`fyFCG=OV-&{%jXz?P}`lukWL*U5B4q zNCL4cqvrCXYR_j4Hn-JRTq8tpOQ`hG{(0Cn9mBn)DtM^jZvO^60E(+5S6858{&Q8q znUOa!f!bRUTY|7hcdGOsC@3ps$P^A?LxhA85<&>?b7K2`@9+2h$03k& zpZmG)>z>bZKwrlg*vjVp0k`k@5W#j6Qcw(RpOjei~R$s5)%R(&u*48o5Go2dC zA^th{O`vk;qA@w>u3|NDRH|ofcX4G{EJO?2j#j8B2D3R19Jf8LKUgZ7&!kYSTpbX& zxbV5Fr_+o;(d-lSc@Nsc7`=!c*oAgkS(;8*Q)GvOKU>b%UC#y=8r`r$@`4hK_ipqD$}&LoxI)tVSC*++(@WUY*$0+{7kPZ+_i--(RKMfA44}?SH$$OMIYCf&i9AEHj>T<%W8+wts#wE z=7u+b^r{$c@;Aqw8|k;OO)JqeJ2~65m;Qai?c%he)NoUDjO3INUstcDw1bPRc>}BXCcIugHrh3@y{=}EcNZMeUfUh-U->dq8i%=M@5D4ViLcjp%&N%oG6YBUB^|8?| zu!-$;IVR`&Mo3iICDu!Jv|YeDoW6VSb1WT3kDS6uKlT+(iZVeYPF(GRu%$P-C7_Z_ z96f`j*6ZQV_|6P2=#^2LsfF+H$R}OR>}9m+9HjlED~aJ7P1(&zmOI#>ARSh#Fnnry zZ5uK8RS~qhX~g_^jUJoz$7vEc$IYDPfgK!$Nb#tE)u5m8H?f%uOCSSA&Acm;9s;7` zN2c21pyx$z^2NBx+$Fc#NkVXb(e4pLO+o!y@Y}0Pw3+~cV?{|^y3l3c@Edlk)8HTM zuVA1R^evf;bqRWn^6pd;L&^p0s7=5VY}UAvhWCVL!t~Gql=WvT#p;+yFk@M-TKmMY zAvt{=)a(Z(@XLomkHOtMRL=~&7Z}^KIUweNjccUbf{*gqUUJ`iu#+kzda47|MS{P= zo`(9W2`dB*;pC<-Dr*KS#pFxd!w%Nzp}!c|!c$|chzI83k3EM?77v$~#KHAZak^j{ zAManaFj5&Ow07^c|GFLhb2!FhI5w(nsxSHqU73G$V`YP;XTE-FRPYxgnTlMzbx^&1 zT47k{n=68^cI}(G@#NZ(#EnQ#AaB#M zyC=5k2mHxuynaCN#+m~thklLXtw|CCXBUNEH;TbdY;?)b{KyBM!+G9MLu^4C#NjJx zv8^Ux+y#ueHG+W?X*y01?Q7pr9rq;@4B2rTm>*Rq=U(*H*qC1fH5>=w`jDLLKf|Zf z?7)_e2j9NjBpPA9)hPwtJfJF6zO0KVq(oCw~`OVtIWh-7HkHJ#87(Ww>vznpgLm+4puW>v>?sM-kxawH8EL zNZ7^tLC|rA-yN`3_!7(rfxSlTN#Lh?l9S-56~wd!vrVu+dwrhK+eaO~F5Lq+n1A;Br9r?;zEDOEA4jj5IRgw+`a3FX^l+fsH|qtK7Bs z__#yudJ04#M{hjcbLB&_2F>Y*F!KgIG%2D~e%)SSm^Q5l%qqVqbY|)^=&z}o9|rp= zc5k4bF_a&hM|*O>$e+N}HIgd@kSqa0S{G~q&}r;8I+g-9HC&dv0eaWP5 z*z=;`XkjN)c{eeb$xB~`?J9j6arN5pK;o-^CB6F4NZM>E(97pej%x)-_>XRcC#wCbL-?xcf}ZDR*|Zct9w5Jq^xTYw*JD#g325vzJ=s!`x@#3eGjKn(P^zz$kE zRz-{$@QaI47uZ`H_LRaJDTGlmRt>B4(X^iV9T?o0vZnADFx~yyf&@g#^EUWC-K`h~ z*nr6^17ZTRvXx|sVh%^q`aA1O%bH+^_=( zv1*(QXoD)lNnW+HRRmsjQB)JlXe3#+$k8PVaZhO50HgAvi(-!-KfZ)s!#d0~FmH9T z)OsBk<^#$jH`tU&&*1^X*Z=Ahb>Bz<=<}eDR+eiu(B~VdfGdV|Iv%*&u#uCXFx*`b zbRO%eSFQ#ws}zVB_O$_OzRc7j=>DGW6h1EE0Ge8L2TxvA{u&&|phpB}tqN zYcwyj)B(>5oLE+{LQfR*3hugonSj2mZ8$^$g*Kl0uF%2nc8`04f(dopAE@y5wO*|z z0vCwZQ;vi&%RGW27#RRbO?_;$p@JyK3D%%jZBBM2-6~Ao4sV^%Q+;~W=NZGdSy-Ej zm8)BQx^AjYtG>UUdSId3z;KQ15IMyhgd)g#?z6|^=*?_g*xEST7DTC&3x*2AS>K>F zc7ew1K)R^gw0f->!F*a3oA3LT!?r~0g2ORk( zlRsM;NYnFp2#irIabmLUTxje#OcR(Jj{No>s8Kk6$~g1}cB}-CZYY(0!`#Gohl6Oj zZ;(C=Xp^jey{T*s8OD&wBaz*QM|e__$+4=xfTKD=G5k+3xVY34C||pF3Aq!T4XII+ z%t$5^u9vE=Q@-2%#C!ajdOHKyefp6(X!uDfK9Vde{1ba(PkSG4?4Mn%+k)K-8AZkH zrU!uY1^fA}dI8F(@OL9U&Ftb(fMPdZn;V0DH2E=9cer@Zr~}QC%Ck?YNSK{o4Y*Sq zg&f(FujoABqXM>oT5*vBPffa!1Z=73yDdqJ!5{jn|3~@w`cyGuaOeR;(=IT#2)k0! zW6#0Em=#!~=Fjm6yR=NbnrHuT&CHgryBd3TvJx2U4=Md4$#Sy;DWF$H?N`kV;>?vc48rXaIWVtx@gev6mEtOopM-&MU~g2PdbrThWkE%-uK0xkne)hnNg{Pt z6YX32JcscSc<49;45~cqyFs~r*QnON8r9uZ6AUyu=JG2GbusQCIKyoR8obSAZlbT?B>q>CdkWi1^d0)pe+UrihPBXG8>0nE zD0QlFhlf4x_Ry$`z&nk^t?sdNNn$`&$7?`gMw)d6=u`#de}&-0v#T+16q4kD#lOQh zmIj=1>HVh|0#Ov<=0>z9u%@0jzF%{JYZ_I=+5u|(5^zwo_|e@?IWzA5k zvLeF2^B+Mq-X|LahE($bBY;&R!XK8TmtP+(NM_7HA4j}`ZjK7HY2KNvaO~vAfxGir zu1K2V=CG(bJ?-wYz3TxA#9}gb6WEBH+hTUr04%<&B+Uu5{gRuEZeS(rLUq7uhyH-q z04NkY{>9PSL9Yq8q5C~H372MF1ESA` z|4aJUaagN*Jv58vv70~meeqqqYNOQJ-+{$*@Y}ma_K)Ol zUGo&=I=O6kY|Mk*o}OcL;Qhzo%}b4?3!cPWP;3o7UL)BNq*`MMThO%y*q$W#vX8+j zNVD&>E`)xA{rza|UOFIQVwULOwIk=vQpvG&o9{+14!(A&XGQ-%h$_J6$qb_&x1}v3 zzJS*91mqNNK6xf>o1WQvwew<=*psC{BDsha*2)Jb`Sxu*E=gh7?;CU+3?F9ag_U5VVZI;~ zX*LMP1 z0LSF`2#zs#@*P3Qhrg>=!-YdWGj|Y?E_1{+>QX_XpWL*b+P!fY7IbqM84jX3KLL!! zaut6i!Qm510!W&JcYO$RYI&S!?-~g8FOPup9ctEhq&}I3;By$7sl9cjHfhH8o>+d6 z6n3EYnKA1Iw(BjvS8e$HU1B}e-UAEL#e5LxPu5XcH$hAizy>rLW@8=<2l`;qkMZHi z)DdllZwJ*U$@stpp7Y*i1^O6Vm44_giklY>@$cM+ovqV%ZHnW;Hrkp1+%5o4+YOWy z9hko_^q*Vut9Jr@`88$3%ntlT5s7LOJQb0cMmiwTs?VyVL+x^47t%Ko-(KA`u}g@RFTTL z3SPbK(0lhTykqhD>7Hs3YW6HZj7F3pINnDacKc;gh+@3zn(DSVuKj&7h)>GpQlXKA zWX>#ksY}SYcJOu1RV!N8ov!zI{9#+W+QheGW+$P??AEAPjOa+4hT)%LX!n>_LQlO4b_!)v8%U7*GB6jy;-%~;ZVnK*R_8( zEO^sr+LO~)Vszocw>OvGx;ZX;*2mTceJpzOr$+fYp5D@WNZYi(b**$Hv|{O}8}TPX z=PSAG)@s401Ajw6&)6>FO?xep8k5cQc@_>Kv>f3=R7W<(qU1>whwQGu1OLUm8=dXF z-_!n&D2-F;3y$k2*Do5FKPvocHwpoL z-g9ttR2X^$chvlTM@ZIX^}w+;b#8vIpG1@MFN)CxnN%t zwq-Hk&+TYDB~HR5!? ziZyc1e6^X@OTfKZueD6~&n30aGVDVyu5}sz=ptF7GWow3thdA=n$d1PUmm=|R}+Q5 z`q3zFQJueHAUxAMHd!jLTkO!CjYE}ra-3$aeCW3xJ9Ex)ITx7^_=p^)N*MJ=1|4vjN1b+4BN+D7grX#6i)ZBvW3`9aF7SH$7uJ~^k!=b+Jz}~8{QVbm z`aq*DL-g?uSh;8ZQ*PknVs+dsS>s`FBIkK~`Nxl|NHJ6b*u%@@Q4| zjyW*^o#b+okU4Tz~GJh z7YY=1F8&RaSI}|=6HWFRHu*i27PJLOn_g$u5aIxXR{*dxagMh1o?y3%9OhNLV_Y7h zku_J5`zY<*QV%TG=T9Rb-*N6G-h4I?mesS&jya^7qY%~^U_v6X*)|raSY3XWm2apo z0xJ7pr!-6MEYoGy!@3=^-hgFWQ?hvWZhD z=X~y{qJzTkYxWxz$tE+M#$8HTlN&w)6N}o893lK4E|=9mj-KgwHf;|c$6?*3z*nhj z6)8@!AF-!8^gk&Zl}BHuNOv&U>A>vfV%_ez)s~5H8y9IeVL|h&hE*$uVwCHf4TkV2 zhpA2S6jMeei7sY_(|Zne0eO8tOlhg0%BI{IXU*e_?i8SbVIoO83H)S#7g~ro0ty-D z8uM1NY^(I!my3bC!H)#QEl@)D>7+)5RTVi!YHY`mX;T##*#oHo=Z_WbRgOb(i~w+5 z>j7}np{@~oJS;BZKXFUg>Ea~5Z;(zBID@0Vyuz4DXvn!&$*An1 zR0iuVubW;(m~!h`H^UKLv3$T~78BPKishklYL%`npYGMbQJQH+;&a*L4s{o+gnci0g@z*f|Erc+SnhWI# zodGe-2#5eIsld({|Jj*sBx?&m147Ktkf|a0C)TV0xJDrH#f0&Hp^;#{6_>t80YF_+Et+@mbR%-RzI;$uspYF4kM;2aqGKP~&B5kr>z2M3xQJSY{Tk5a`e%Ry8Opth zTfA;zH`*Zu0N2CYX5xXy*^Xp3=Yie&%I`Wh1#$Pv3x|xUYstLy8`$<`_7m=T8S24Z zc6JC?8U6~vZoS;dEaxq1h!(VmuO(uESog330?T(X^gd80p1pbj0Ua3U9sr`NjV>*y zP_l2~#Tzi&13I(Azve$hUzl(hfF9pfvH?u3YD6esHHYEwD=+j1VCkI!vdpYY&PS?# zz<+^&koZI1icS9E2q2x!`o#&Ko~Q0LH&;!52k%-x4F0^fUa7q*NrYZ5Ach?@zZ#(4 zIRFQB1)@C?hwSK8MscEK7$-=a5*3QxKS3vOvvQpQI?OI4uJ}0=7LA(eEEOP;IICw+ z%l8Y<5T2|Wb*&-jCm=Cq@(rxupijm8rU(BQz9?S4$l8+L0jHLb(em95bJk!cn+h`L zh1BJQ=idV_G147=hf~f#v0V@VzN$KFZ&7p+e5+sb=De}e3&2bC0$safwb*Rsr<6MY zV=CL}1_g*kb~=IL4U9GcSeW)AyUhv}7{R&?qho#(0RK-<`oNyABN6e}Hc1Ms< z^zoK95@z0uS+&l-;M%CQv`%yEtL}glo_in0M3JB2{Pe6*>2d zQINo~I8;9P&*HwYXHx#+&vD!WuMhQ#L^f5x2N{}$2J>D(iqX_0MPj}gj{bxB;MFQx zsma11jYdAYP&#+2ig+j-*k0)~$fYAl173D=DgiCOS}PkiC<-BZLwGANfS>vgwTAS< z`fULKt~lT_Xc~RMcdS=;b|+~7c4|ZQBI@PSa#nyg`GuDTjn!}y&9>~^bs+k#8->P= zPKm`Q{SV^AfSzh)T4f8?Ob2Dc>A{HmlFR|>TpkY+=gnur22bX&!|cM>Xk=Yjc3d@qr90s2I9BZMYq>U91pu5a zGsjW`*Fz~?cygnwON0YhBSMO66yvGr(v3!rK?G`HsCDX-;SxVQzuLh0_0MZ2kf3DxfjK z{mV}{Zr_EyEpX{)7tF!J>IzY}u|zi8mq2L)(#>t9YZUY@v!#B4TtrlPzJRpM4md=0 zs^cDqby-tIU?CPk+iVwnC3sI@-C6V=EcH*f4tFdBZlXU;FtBpWMSXlc2q_ra83tB| zlTw-+-DjMXVaeu7FT;S9_!qF7P#gsCzefN0U*uMZ2JT9c_R#IoHWr~EjCVoS$gCw4 z0G2Q!GY?KA>{ zsv*2^OK3Yg43Mms^Gh-e+D8;|M(Ps~GF1Fnh$#U9PT`;5BVB%?$O9w42fknEbs`U( z07uP0TH=4wma>s>z!2p2+I_uJByI0~yPF|2Jvd-kSH(4i-Nr@+^Li|xD*(8y5_IhX zPYxRyi&}4UUjMD?wcC0EfpujO%EBOE=(7g zYmG2&b4TnqUPg=Lg~qM}R=BeBd+Nh9>N#Cfaen!z2+Vomdqf^sOAM-l)h%d;gU4g8 zgj+x3==C|_PTTc#nGBH!cKQ4P9Cz?~1yC+Mg}3g84PRlqoEBWv#dS3-Nvyu?q><$o zLL1qqd!5JKAAx`@*a2Wao2lIsAIj?{l0@E4%?;T}%c0^6)WT{uE&!8LhmqR>nG>I* zU~V>!EfJD|b~U3ZU%+xF6fKO{z#by#pB&+9Kw@}oabApjgJaRLo!>)-t=}^><`1>o zAPw*Yv4}}+o=_h;%^MYHY2uIIQ=tnf{{TctCs1FUi=09upm)3rL)H zChM?B&>$a2GhEVNaTCCk@#9xI>uF^3Rcyy}e368gwz6}9ud}?yh?5HCbTmNt(*@Hf zEpMI*uP$uCQ58V;v53@4EOysN2#Z7+g$=`JrxzxB+FcL^2WnpgTZ%=5HW`6F1@7kX z`k~!-MNgmP`O{PQb1&S&9~NhdkddUbu-4Bz(Oe)?y|5looXoSig*~G}`S=fcFK*To zzn}Pyz_RHQcGC-rP9t&rwTHg=wyL`5W%dk9vV7fu`7r1n0;*(dx&s$>1S{?T`#$JR z^EGy@k03#7T_r@xeH{$|k@DsJ|I5@ml3xGcMD4ige~B7!$ak}E$>V1IX2}h;^&wY0 z2WQ8EkZi|_%hp5lytRl`+Z52cb69;|m0N#xjze}nA&wHBYO$dkxV?3vCGGVl?*%E| zHww?UfECE|Se`cRnl|mNfz~b!GuL7)p$-e^RgHOQ2-bF@mhvUAW#7VSXq6o%ZfD0@ z%e6XZm&tPDeeg5Em4+;*-&`)dPd(aoUtzK%=tT$DOxV6_(o&~1lxM5UFZu;?bOSGd znNp#QF~$s96Ka8_SZ1JY5u`?Y+g~$^d3IIp_qp~6=$F~U7>{4-Xc(t@IUnK2 zEV!NE`F}mzwp8#@QLe?Y$Xc32Jkg0cdGjz$5q;VD$Q4<2yt+Igg)5Deg!VY#7SPXT zt8eE=rG{|UDlSnfuC6^Q<_ zv{hC#v86C(iO7zev;ZSqo(rPg0Ldpt=A+L*zYH*CVvQJ1Qd;SHe|iUC%g1Zad57|D zI8Oa|)UF{jx4{ho-ru+%HPhgeZ7&Ud0gg-MMzB5s9R#WgiY8h|O2R)ZRKo-&7ON4X zVXzS?Py6*0W#AoU&1ZGA^1T>1P@87xhvt_;yzv7LL7s>i=JZYYOnQs$Kbi<&X1V# z@#^+*$Kcv06S7TS7+nZtAi+bQG1+sC{5v|hW~LPUAE&6JOzmU0HIACTOKhyTRQ>*7 zsU<2uW_Sll-veVUo)Y&{mH~*-WMU+stVp}2U;8hd)>kvGysINTD*LWduFoZZIYDE; z)g13YQIyw?-itFDr}n4L@!si~DT8B$f_cWidCL){dI7z(Qgddw3QGBPV8qf@K>lGj z5eU-2jDebJXTY`=2Ne{ko*x|KJbjz!a~eMu?2C(N5l z)mdj$>FD}0)hL~{hNTa7f;l>kyRV90mxC!rm|2!mKGBS#up`xxpqdm8@`)qpB)AZw z)v^spwgSo^%)3pePN`A%lN_>`K26z3!|>DL6Zy^83J?KlvPnvDFXTS*lz3Sst5&ha zH^b?AoF|QswA25Us`Bp>lL6EH`C+C z9eH2{YN(IQT(*J)2;CG9eBp_{k2BUEW6@2ywK;K>!`wQo)6cyYo&`(>rI5R z+`T64TXO>cKG5!NQ#+JY?tL<&tdPt+;C#>e%(vS$&x=h~X5_Id<#u_Ua#NW}2GT;q zMNGz0|Ki8he@>YEHT^r5sHR$r#JS2K(wERbg|eNS`8yC$D|?6OKiyswOdbKf$+=-5 zV`1bH0t&ok?#fa0-EBj2>KvC4tGr~Uzf&I9h41;H=9+W}IMqzNS$ouu{-hZnsOm!% zHhQ4q!z!{37~VWEBdb`;MTd!_J&q=}Exn7_EP2*ul71s}=5C7lr-H_zTI;Y3-THpa zW$eEoO@Bgt`q*bhJk&RVa_>~rqD(Y(G$c+iepl<(vucWY>=slDJNJyheTYQgsBZtqT9}oA!r0UXJX2KFrI%^l38P4PD&n zc!#K{l+;`ttLo$k>^a3d?fR(ECgRl-OEIs;XRsikAqSYX9bcu-j;-7HWKSuIr5j$; zv?YznU!jt3)hCbWL&kDpGpmh5v3m8n%5OeRR9pZ5z@)q~M^5+UxQ}%8QK)BJ)8giP zjCuG^O&0B*Epk(1_u}JzWf$0@K+5DLU4p>noFhw^X}`PLMf03eYv@UjpJs^Gh3eI)&CH|6@=a}{qE_3D z0Be@UYWNyWns&2~6lzZZ^rXg<5=h}-u~5;%>7uFWet0FOrd8x|e-XF7E6x?CUSrV0MW*NihlNrCUpafq|z z17@tZS3%Wowecg{_m6b{8LWOcEhjJpt{z#v1+{0HdAN2oeAoHHjRELaV1Kgks^2qv zUVOB;G`tN{9$h+XKs?$QMN^L%Nb2(q7FK78%p}mcXTi$QVNZ;Nt=2Nl_2X}b=3CbS z6Hwuh%HNF|PRrHLB;mDJZwN?!0;RGGPKQGrwil&D8@Hb;`*=#S2c%c}(6ktI517f~ z8iimNJV*z@bMU1}E?Xif7YIl))(Z9*+rxD&Gv7Cp(t`1d`*?UYq4=n3sqho#igU|q zqDz4$A5)#Ccq{lEw&a6Ol0Y+oAy|71?neispHJp>Xiz93NLIY zJiz zfvy?apHuIa4;Mw<{j7VW#JdC@TGKL`j%Em1=VJ>y}?LIex`Hb)^EUf*Czt< zS#Mv=0gn~qSa4t_-1$$K%9+K0J9x#8S}&67`J#5YcA zpQr}Zoe8rdqjc5f2d3e< z&D0Mj>Zwj<&SLeJb#6WoXnJQw_thI{3+((MDql&?o9wJ`hG+;1hbxM}aXZkBJp2Y8 zmEjh+hv@wEQ<4CDtBv;4U`;0Prb*b&{c*fgW7^0yF5i8Ozh!=Cv{Yd4xCckX}?QndF^WKk|;G6=wPRMx1%cBMWmwl`ScG+`k+|FsC zR9Q#TNPOclTW;s40{+BV5mA1t)i+W3EYkZEEAA#Zyfo?Cm%qGVW?Gj3{A0?K6|Qpv z<-GqvXHb#QM9??!o0?T9h=0nC?btlbBtkcC?g0Hj}z!XS;C z@B-NYT|TU{EO9KOp)B8+ny-MG3HkaTMhiZNNHz5zZ_jfa-aDo~5^phQ^{w1Y1?eRU zFO`!L{tM*TvZBCucb_dx;#!-MKv3bWx43o-mQ2I>q>Z2_($jUZnn+-2`fG}j8f)v> zKK1--2q>d3M1kU&8?QAHB!(a@mKOku*(>-vawqKA!bEq#UbjV z!%dkUMbq{~%*EQp40*&uyZvR_C43quSP}s=89S)xHi|Z$w3_H1u2d&=&e|0SH}O zo)*#>p#%`f;0OC@Ir6P=v&rCCZz%84S}X7)L&|ddw5SVM6F?Y6`O1#_<+w&|uH*U5 zcM7Uc*eWI&Bk~+W&qc3+;1Bj|{ZNqs%yjf})0mup+2oqpFL02xsoGIwyLa^V<3E;Z ztj;-Q7w;Xi`bN=R7!)J!`-BccKwt-l1f{6kWgGoffi{=z@}#hsQ4Q*U=fk-mltAk# zf>b~kyyud1H%0aB(=6sMZ~~x(3HLMr(d{dkffp`N@|5aA@J(K;S0){GDLYVJ(bR;I z7uv}VLM>e&+SdPFunLP2n1d}JAhXW6R=)eGZU&%x_P20)ey8J8l}F4_8EVAH2%Za?9TSKJae-;Fy~n9f7iQR}-35g}6jt(U6bSn|R}=pA3seRyllns>1<2GX zG1A@Wit#-Y9_j#sfVg^tuOC>Ku!$`vuFn5Ld=0n1E;JqV*q09!`9b#e*M)bVf!kd^ z5&Mc$ox3e(B8nteCD{=FhUHrka>6!h?CR0|4Hwfi@{~5Ol;r*yHTJ$jy5sSoacSNo zQwd@Kn|lF43a5K}^CC;qZee3N?6!|>v1FQ&M%0&pDuNyGejw(?EOVCGr%A(~HGvV! zf-N*`1I+c;L7;BATIug1_PzHMZ~Uwij2Sh8Uj_KdUw(_3k)PX23fH?ruRYy+c=Ckx zt366nmh7ROBx0z&4MI)-sk`$rum+Miml3DW&Q0IMNHOjyV6>o{$oZOTyz-c3hJac= zpwACLzOV0W$09J4OVws}mI8|gvQ)s-{dL)4$$LDt2$spLw3w+3PJDvBz7x{wKHb~P zb{S`w{+T+WPGC9Tv3OQgT(303sQycG-+mHeqH@zr%I9n2wVX`n%SkBxZMdVI0r91| zNLkB`n^x|BYr530U@k?C@%R78nAZugTV%ITKvgy&c8Oa0=M>>_gPXEBVXrD9nE-0{EvuJOj*C<&z zp!Z>iU%ycZ?*-x`%VRcho8MukG7{HJ_?Zm)$1DCX->XhEpHT~!i+EO4eOdi*M-J~Z zv@S*WC_sbf*KjPLa+6IaDx*N5^a)iFY{H3NG^?X=-z;!9&jxPF#9VViKvj*96kydD z$uHqAG!UTuwYW=u#w01={GKo-jjJx@v5%HHHP7;gzfXmLKCox;Wp4EgPNGPmWsEg3 zO2C_H<<{^5Rx^XS13K94D>Zq%Cv}P)^APPao8_(A!^QFv)u=MG9L$+2mFu;+1lGQX zY*XC+oGkA!!a4r})rm>Zr)O^8-#Zi1(ci5SrD0(1{rAfCmV0ijAkkg79Qqpf+IBAF zAm|F6>DYd|^@Z0P#jO@|{9h5!kDm^)^kxk=XNHp>5QoxI_f ztKHgJ%$q%@PcPSgv|W7_{)&I|lKJdgS;rzXH$m9fZm+cYaUUn=BLkm!)v^JIh!S93 zUHo}Hp%Bp;;x>oLIvfjWN7(Uubjtmef*WrAv2-N70eKVbF6{+%^SipF#ghF25%q7B z8x1*BPQ=twG-ay3K&o*iUfvs&>ija%+i02Li|;%Bnyen24(;ha5}R)Vwu@z<56=>} zW*YOChi)&2NqPk61ggY;>D?B#^tROvs~;EKe(MjW;tr_k82Y8jN6aZt(+=`sXTQ>8 z7DGSiJROr%p_q>FA59p{UfiB(rp;mu?k}pmln5GgFQ$^raQy0l9QKe^y1(W}0s1lm zvfk=u%QFET++v-LrH^P^9x4Lvi3YSKlfoO5byTGxi~1TB?e54bBUjRI?wJkW1fGJfLWvp)c{&j^ z`+8gESmz5BiWs9)34a~b37fKat57_>v`swEmQ<;6*@f2Yd2ETK>CVJ6quOoRi@R`; zqD%bv`=rmSiLJJtKI<0YEh=GhVtejfr)37aea>WUdY>zhrvIUnA|4Co1SFIBgTJ$F zc3RB6&LpsOc)za_IgfCjEJJNU4x-r*;%=;ax~Jqcb` zOKg|!Kcl?bV;_!Ci|cbtV!HOlp@xjEEopq+#SXk%E`zE{ZDB!doFrQOEvBU6-o%#W zBh;vKMkuqCrVG)LS;{XmB09=g+A{r%OhOgnCBzt9x*(zVWinVr5piOcYd16(Wu@?5iHO9WvAffcg`xvX-RTY(V zy<2JL+w9!bMO4*(-)ic|(qt1(^=_3gBxB&O3CUTtZJFUlAmzdrhy6g2c%vvW>DvSH z-9(G{c7_ljP1XZhMzG_ur_;^?lWe1f0Q2Jh$W(FxS7GBii>L*%gchhQidj_YYaN2; ztxn&aQJOKN0samllvVIpT_%?AvUg3I!u0q|FtioLc)iVji(V!nj-*{Qtu(K?xE8?x zeb1bhGDVt^%4%7a#|nFW>tr}j->$TZvdFfPvY0!y^#1px?z~IpSkh53%0SelLuc7j z;HMHY$S+l=m28)eelZgZ3?$e9XOvFUyfNEthvH7#qYT}H300Tt-;i39X*qta+ukT{ zx0pIjnq>R#ghIhWwZ~7cuxo!u%|Es~RW&KLR6;!s9ZCaOQ|nG;z(zWv|r|2(w=6R zN*6g@x|lJ4QpdzDq?ZLn6RrmFf;gUV)Lx* zzQmPxS?jh(sf4-!nW*Mew}4@G9)X3csCu_U-NgibX@C}l5Q5>Q-Y;29QytLhPp!{f z$za~@G^nM0ddNA53V%}@Ew0vaIQr?wk4*?=Z{g{MW2_E!d>1#$wyAFU;hJrOI(}|F zqorX9iAP-OpauPmTL}jlUq|Q_(h@wIR_l79YMI!RfW#5%bJkAv0@l~p+O+_TlN4*P z0T@_cOP`RnY7U<9xW*Xxor(4t|I1k)7s8zy&CBG{<@yJ{tlBysi{(~cv!6RP_VkQr zk6jh(k`l`=(-vGe=ef<_tbI*jxq%eDCuiPEXST@>3ubYx<|clE8_!rU(fHtQZfybZ zFkWdY-x$?9v|_a5fal$xld61MNavp`s`-<;-&KG9bniuYh%Nh8aNztFQX}#UR3CyQ zlo=CU?=wRZHLcSdlL=t(c5HQ9MWBbmA1>-T`#lXmF{(FH+TMijjUH%=IDy;mIuDP> z-7#!tbn)J)1LF){UO$1mt?hVT-6h4+u_@a*xbwZ-m(#tidWYWlZ@5GZRo8oZQ%8S; zUaN$0X+cta|F3T+R6I?eK#dmyBkY=DY<30uag{+xv+{s>C2=TMaqGo7wiW`KxI5Q; z&j8#Zup*m)MpqQM_rrONX-yZcS5B)eNfFFwl$~VS?Mb>faYWz{+JQOPar0SE-1+w= z)&5eyHc2^EYeG+=0D7t$vc2~VS*N!vZm(d$V`kDt>z9gN-SO5jK~F!Y^@>wmK-?5v zBs27!M%Kpl0zXz|`#yqTr_HY2MJZ-HD-y3?=CT7uvSX^$bY;vs%O21^xiiJ5oZS3l zPU5+L71gH0ldYQ4k$CWa!?SJs+=2r89@#C(+_~5l`z5Xz*o#)IYHt3nOl8&%i*>9c z!qxGUKLu|dVDzvfm|vzs5%TJ(%@(kYc4g~n_1vj^2WoGSxpcJuOwCX5AnKh5-)<$^ z?}}?zT)7KJVu6t?>%?_<&GOUW-ltJrwqJu)?x0k3V{+|1o_}nmdBA$zEtM`Kfd3!h znMVD%nR!O2LUhsT>8e_PEmxd@O(5^UFz7um!@l(1ztKaTC3WsS`3R-Qu+q3Vu0+>jDVsxdA-JRv%?=TKK zCrz5%;R%ne=79DS5@F#d&5@ijdCma?jr;1;#4dulkoJ4>!RYm$9sR@R?!H~S|Ewre zG{4%$-O@7EvWu=zfbauZ19yDn{4P74fZK#AFxmr@5MdQI4w@UAGXt@|OOyCtb-UBW zE#}?^Nkca5bPM1&lvO4eq1#(y*||ffduavqWp?4tNsG6)gF4HIqDQ>vyq zEC2o-SDcTG8W!K$gu5M7zH*5oBNsIme!HUF{lv%a+@Z8tiaX}3om6k(v8HpqG64Tz zsxgK!+i*`dASa>&la)kZg;(e|DxC(^Y~ykb;yp&Zt%d7?pwNh-8?) zCgE~>fVuCR2^s3|CVqe-`l`fGa}Jl4e6)c9&O1hWdncCKqz^C_0Ks9X$DPTgO149% z-MXdi+Q920D1N!7yQ2pfCBV|U2*A>A6LLVA);^2>ssF30noGK|7Dy=rMluQqS{V8| zGa)a=&VQ>ElEc~In@2$!A=_j%6VeGL+p*M5sX_JR9ZjxZ3Z%=)G&NlM$iTy!f8};z zW_H9;nnw12dM6R!`LF{sqlADW@A`f|{<12w?#+%JZ{(bi6(dEpNe?gY{`L`s0$&lE zlfv-d!W$=r;87QZm)=V-wPm^Xr~b06nAZBbt(~}@_%R@wxRy|o{N(fH_Yo0qgKkO! zACQrNz3<9;jO=_Lfvi`n0a-)MCepB2xFPPSBTao6+cIkMyG9*BBZ0=K-q||u#y0`O zV6OHZW|lV*v{J`?u17iz_PgL0AXtnNDtS zz89&=AGAPZdU^Ip6a)G=Ino6g^5uz&QfQxkaF_g-72hQ3Qf`4a!qP!Hw@_xFn3Ntp z=hAB8h0mV!);6(Q5#7W|CMo>C$I-!@GwqiOC70I$%w1{uaBA-NV}m8OSk2fa3mLgG znS{Yz@IZlbhJm$nx9#@({gE6^7z)}4C<7Hf-abLPOn+zp*kc9MOB7?Rs_-Ab+Wh;~ zDhA-IXP(wpUlGafJ(>}CAhGSTdW~!oM^5zBq5pn$0Q}YS+e?oyAAqm^$hGLJ@bU0L zU+bBv_~%6`EA!8}8yjStxq*B4{NswD{!(ldQy%FiIBVKJ(BCpz5x2{H`50l~Nudo^ zQ3W5Bb10n`b!f{i?~9dUeMItFP+RLgUH?R@af=b!4yhZQSGCdCb}doas`D z!98Ai1UeZ0Sr>Q~Iigrj%zvPz8#`a*^8f|n zBGwZaKd^LSSv;y$0J*^tEtIuv?^Bj>gpq=qx+BnqE%}(gz`D0objCO!pHE zb-qu^t~*ravsDH!{?3Z}nftW#V_9$--l+8(a6&G242GgKX~0SxUSyM% zKQ^4qD{TJ|bYJzawX9Mz2yX%&*5oJC*!y<9p%;wyQ1N4?aH@B6&vLpH1iiLhzp)2S zW6mjr@zWnHQBa#VIpyQg^jpn@;Vc^{W0vsOKWV>Pqv4Y<8g(DV+Sv z(yo~+ij*g2xHwS7$_S41mumOI%cQQn%jn1szI}cV-jac~;}P}DQgVbMGqTgA8vX`TlDCwY|zwQG-@!p4tmdo_;&X*+y3~f?S1O zSp9bE@eaoZNQRO6wL4dJgW;o&qmC61SDQbqlBj#5YWI!7+~g{Qvp>(3lP_aJU``n0 z^^oN$vY0)8`QANhI7Gw-!)o^F!qzN>vUG8F`a3|ppjjI0a)+@{HfUU6qCikyG2TQ|xK`(0*~sHaN!dY!7V6S-GcxW1Tlr1b3}8!{Hl1 z+%0L(-3D%JXNHrh2QfKW6lw&U;hZA6LQ4Y7BmFg-`3Dh@a$oIU)KJ_{E@+eJ40jMi zhI{6VG=a40o6}|A-YJTIAgb2+j8020aI1`2feMzzIO>e@c7P zKq$L6aM%(Op%7WyRknm|gPwXsDUu>a22-{f#Dp+|79@MJGt*;D_GDz6ku_N+>lk7% z7z_r383yy-{r>;=)BExLa?iQ%Im>mfbDis)YdPn-5MfE*N2UB_Ai#+-#PV@~Z|upR_;Y8i%p2g85CkAI<68mH3PL{Aw>N*5SDTxb z<;F~!*Iw}V3AZvpwzul=A%oQ?#Q!!oeN_Q>uX(ZQg=e4*v0DMht}I~=6i=M5A0;^e zTH0Th@QNdt_rQMl!e1@K(5So!rp(&F;m2S0ymO*iYt74P>BS%9WS-A0R_I&$KTD~+ zd6fA(dVtS!?OA_yKmiMs1OHMIW8@JC*;IE>#9Rg)P8i;bjiQ^CbAZK{f9+g- zDKi>hZ+nMM>i5V0YkR`h6KCrwfvjJ3gAvyj1{pr$t+nW4YdjlcN`BF1N$@fTGz3n- z5xfn+7ZxIji~AgMBzAX#qJTR&rQ8~5{CAGj#z*-CJWp;eVjvgCxO zpvMXM43>)BU5`}2Sxyfk4zjG7hXEy`!rEFd9|q09#{k?B=l^F3g~$dJzl)GgBkH3I*0=6_&x0oYOpu^u_;0OE+UZR9I$!=@= zN6CHvqa}F-oZhsoB98W##9@D%enZQdI(TJCZ5kMU1Nh~~({h$oeiShrhaoM&27qSC zZ_aQjG|I=;x+nlq@^`Iw|7Y1km|QdD#d~YTH3Tr{)F|L$ov)V|ZF3`PKs44M8bW!fKZ7zC6_{vofej3+x=nU|5|)<7Y3mnR@M>5IF-t_^t*-yB*+9J;JM|tQV76 z3%`IlLtM^0X_Uhp8{-A^FUN6dq;+kiX}W$*me52XppLP34!pn$wyre>I1=D(g?nW= z=W->pGaJ*Ya~9e1SLqoQ%zB})rHa&FwSPhd(vF4OxfJhQ^X1DU34SJ&?_=f}>*xC= z^Nu@Q8t`?##=28Xp(iPz2ybLv_atM+dhHZY!C`}?9pu&@fPA$wEqkOhEs_quA|ute zwx-HURsnpVwAAD%(}O{iwrh*J9{xG=Kss+MCO$b@Ehzs(8v!e|k^-2EZhP2U@5d;| zS=olZK>c^@_W0oU#E$bA7|PSW+Q#bvvH5(JS(smz=w*S?%>3rmN#pyoX_IlyXB{I# z7n^cw_VkT_kCNMn@Ur3~@Eipv+GF^le6Y#ROw9xB{rXeQBd14aG)iuO>o+0Fktklc ze`o4s=Odtw_D9_#aopHVbiOk;)>XOE-z{9#&~4u?yi89BZYb>pI}1n2Il<68;9z5S zD~`Q5Sn<$kCcb&ZoEw|kInuz5J#o2mD6tyfc$uCb>IwsQ-NT=Z_d0ZLCTZ^2Uk2zDw_jxIN3fmXV!xhonY-e;CkEApQ)?n zGRK`@DZpDr1@NXf)X@0eqkFEg#uzSwL-n&JWgL2Pzn=9l38=M#& zsMa^ZZp!syqV)qnJJVisfd!;S|91WnJV(}vR>=dd;2*V@ z0XG4;?3`%(Twoy=S}YgXPzZihs8ZViYjL#F-{Do+G&i=eeWZ&UYoSu9eYx325Uj*M zs$bVU!fJF9KxlXF{DL%ws5g%k^Ig|Gg< zFy`>Wj{-yI8eXPURbv%iCU6Aq1@y!MUZ&_oo8|#e@WQv+ZHl#Cg=-uzAFq4_gkvp^UW=tklkL9@z(={gI01T$It-RJmP-Y!Y*VnZQ-0 zoM;6+U`1dSN`cJ44o)!l@UmxB+CkxE5Ft29!3kyozjxfpoNij2QsEa~cDrgA7yw0pAm;c+ zOc;|J7tt}Il?BZCp$%9c7Z?LAxf!lH@yWV-HvAFR1PaO+lay! z_ajU+h8o0R?a!}=m#F~-kT2s8mX7ulEVV{~5fYnYD^;{u~`xP_-g!%XGryl+o(aR3ykYV}Hi( z(B}j$3$-Yu{^gT3jHGh=tb)UsBBP@)&SsdIB3u%t0>C6$ya z0G?b$)5XH`hW~_H&dbj(ZV%2VSX9pFCdpC;R-&Htr(6QxM8H@0EP|iHP8wxPIF%Gf zs*+L^RP78Ksx7e}2Y(G6INaqv)$zP>uHy!(Z^M1B8Wi=sH6LC;X?5M?kD04WbUQ$S zf{A9D{BX=AC^D6*-Q8N>X&I`J!?8m8sdv}!_8cuI9LrO& zoIXjqKP-iK?PRRtBX%u?9sAh9c`8t48RhZBx42tuOVa{m|4iA7T1igV;YbKb)tr z&t5`)UekYXpdlTl zu?$u+oEgdeP-N%t->#)G&(A7n{;54l?nffRUH3=Y(uW)>9L%6i2>mOkjKH<8Sl@mH zZXB3}Lu9{k<8O@JDnUkx5wp^kM`c~Qoikr5J-aSUlxx*^fy<-DaT%J&I~%GQAwQdE z!`5`kFsE$vg-MdE7$#!;HZq&e+VT&FRAa^Q4megKF*KX5edRQ$tVL^G?MJvSK{t}J z=d*JF3#VJh=ixZwQ|!0U?!d9>hkDG~eCp`g15ZT+HqmHd41^^}Ax?%+xJ)?jQuJ-#e zG7DkkWS7fM5vMekZOshek0#e)C0zJbPu|esiNM1`ohN3hQP+|D-(Io4Hv-mou3p<3 z?%vaSM}O#&xuxc{4h^>Xi1N2pTFSn2Exd$aQoVCU zr_*hAM4X)`qX`V|b-TKwZAGg%feva-I7`JalEMH`YY|S5!Ja335Xk)Q=pbQOp+`-x zFPgO{ErwYfzm8m*oL}Fs;369UdHO;9ht_o+X$Kg5(1H27CynZErm`%hMrRp43a6j# zFd;`GzU$yV&Cs-{ak($Kt3PCA^bUMXZol}Yr}(=YS&rId(Vq(CKZhYgocHg&`&V!zhfVmVdo*UGdbZ7 zDr5Y9$Bwu98bJ=7&JSx6OB_rYyKXTK#oZWlF6p?tJ4NB%SsLQskemr=^0Tj4zw$4l zWP{JyOtDevR1x^wt88oyGA`QTk4$2We8+zdZ@=wa8^>qneuiAfZNqtYjzlBCG|1^p z@UTD^v7LKI|8r8s7Z{&Ef$#0<&O%Mz4gb4;A=Ch;?LU@=;N}Go zuTNgFy++a>Ds1Ln8?l_PXG^*B`igi&K{cgL2jAF`Y#IELedF;<$KMhr>H@QvYeSK94=}BN5&`XYuJ}vxWxZ@a?jXfoMIcmgx{r#27`65Q3ZRQ{8fdg4 zh-YKV<1FM+XJPQ1^4p>s=)@+s-hNdQfWpYlibNzp4=L7%Z}tgYIP#NC_Buu1%B+1m z3%lD^_kmH~zS+=t;b#zWX0%bg9O#Y*L1a+EB$%8t2HQ6JCOKM^ZPHkqxb|=}v}w6v z@!>?QvuZr1dAOyAYaX6js){0RAVp2pMMW!eU(kQic+urnT^nnehMj|Nf_GAfTVZP8 z-m_jcFXxLw4_Q3jQfZSagDAS#;*Vy}1u1RC_Z~&OoOHs^1zn7CtNI9eUj$Scpr-8AKtQaasFQAev6l=36x z4lz3Kb6eT~_QWhY_@cf0fqV!FTjj$~VHkE(fKZit51K@w+A->);w~_4sQG%`nNvm+ z(FB!m?YS(Z3Am@DNKf>*iHA#nKxS0$#hk(9IIIdmjPYa|J@;hR!)}?w>)ogNse~-DS+GHSUf-U@LZMsLQqndsvKL-fX(`-YhL}&o@{) zBt?qsa0X`H`J)tK7pqkx8H@bLso6Lmt3`FmoY6a1@lUy)z-OY|1A8r>VpHL9dz|zx zgK{^=ixB4^7W>dj_EFXP$qB60YudRUrkI}r4I!O>2q))jkF1n{g=1rUQhI;#s!T8Mrp{+oO}{HFKGW2 zG)7!Dp!G*NJ=^h{oa zZWT`IU}`&MJ|(?G5~Zs_8=570z1C?OM`TZfWLnjqc6rI(TSs!9TOtQ`JY)T9prg{X zFXN!zzO9Nx?B%-@q^T9mZb`9+*L6m^2qabii^ zGBmzGA=7l6S-3{AH9R;t-xLRvI&|7PbKKLKqCenX>o)UcCps0*xx@TtJgr(9H?riL zS2$mIi}U5A;*5M|OjS^+92nuhQyyS9B;tSfQp=EXp9v@v8VK!Dpo~f~a|&O^qpZs) zr>OtN=>@DvUz;Co-_QMA_9aw5^$+$=&K3LjS8yRAGMy4Urd1j$GI?VIx)*w(jP!wY zV;!B86C7_pyr zSNwE+{6uw`Lz=tipU%UMZi#p3$&Quhl|L0*&CreGu6@uP~&H@Z6XA&BXu&n76L!#hyX-&PmNZ0zl2 zdI|eP-o!m)_jPha6?{i#Na4HchN1hZ19@(GZVZ<8?K=rB<>z5BPx>nE-_=P_P_(=z z^_SPmR{8Q~R!g_%>Gj1%1^3lzj+v!xv%7C`Bj)?zI?n0Z38avi7;Y zs}M>rfx{@t$Zk(8!RLWwH1!9U0tVuNZbZi2UF>Q#GnJ!W%#0?qJlh}Riqj~3u+xX^ z+uiy!2h5Nzml1!El~&e|XI{syxzS4Gz^gc5smnBq>NG<9S2four{M7oNBGd196D*; zDL-AExe`j+z1n#Kf>^ntF699Zk|z0#^aQ`SvZ@y^19~Vqb_e=VN|n?|+r6GNuVsmb z{Qh=HAuX_t>S4i7k;0gANw&N7+U$mkzi!L$D!~`L49Kk(Ozusax3M7*^+3PBJM?^L zJuA}9unGBA@-m6zTJ6yc0Pc81IGUP*(nV*0ND zRj__Mr|rXBf6ddK!d&R!kV0=O$xQ?Nsv%-UMYKWg7QJRv0gxJFPW#W)X@{GVyEgLK zw$At|_Ew8o_v&8SR?dW4WXn%SwZcoGBI}v2uN7i7WkGgi(%+{2Y~I?*TG_>xKQe<2 zNtnqG$bsW?q8h(cpGE*O>x**UR0;=6WNAD zLP^l(!k+>=P1rg}yqRJ^z70dmDv*Pcmmh;Mxt*P@-bH#JO|wa?{Gg>_^v$4rgDmR+ zJ+i7L0FKc$RJ^!dEOPh(f$?nd(k`1VC%rZIkZGhvbKd9k>P)jXVDcaDRcO`}R`7L2 zWX@M<^te22T`CqTyoAH&xuZY9pm8wz`_OI|#D6>asc_sw9}WL5DdcHTW|aEjE45)( z6&kZB5wudy{e`h3>rG;VA34kTZYjp+U+h?fW1e2ZG;Qx8nnL8!_0!{lv&mcL z(D`03chEz~_Eum~#*Q;3`!jHC>0XYww)ScUT#m9Zl;P5e`3|cH^8J;^^{(aXc46#_ z0#mBHmxsj%or68)&hLeLA>ldb4m~Ji{gi>yf211SLalhI_h#K2K62_Wa=_--AmxVE zX+(hnqsIvAmt+qR?aNQB%C)|F%TihM0AZ>6lYb{r=Y0<{o$Dy~YT|SeCRNhbPKyO=`v6EaUk{$Bx@Lv5k8JyVGs$V{@=+_kL7MsrMsDNIyBRYfbwPL2;Rb)gNA1xd zFj5se+=fsKf7?Aa*6n;bCB`hBU_%}meO+;1RC*%j;P`>t)vE^c) z{nvEm2bqkSR-*kvu?L`gqYEX+C2VVVM!_bw6(&j*PEBK*9Zh1}Giv6>H2p)489RT) z?qUZ54Lj?kEuMN6j7Lt2uASIdf+@>W>-=8USL5}JN{zdx>l(E)vvS?Jza#4sb6ml* zGdEi^hK1V}k42^0r*|X#lD1*wY=^h3ls*qM+6|7(%w4b+u`nVBehZyugFt&zFj*aY z1xjENJ!qfSYqK%Clj02xlFr9ohUB#}@E;-L$F8?u8CTzAHqT$XHQ}X4sPR~J(nyQPE4P|opAWN;#7Gxn!m$6|;L;VGc-Tux};OU+Y?yA+GCK1g5O9P;e}>3_nY zwPp4{iq%V>*cHL5rB}(dQg~fnE`{kvcJj4RzipquG-H3gxVD>k-aw%qcUQjLcHXVn zA5>E0Fj!VH8eDpf#IUslnz;UN=LGAme;c@+ip#ya~|M=&N-k>cWJ{nFa2`c!Zo>t1Y36jc#joYy$vgYs*ed#96F^Bx)e8tMZQvF7o`Jc z`8}M!hBbJ!C4Rbpgu&>oQ_&8^{3aI7_xLJ$X1Ar7&p_f;wki#jnNVTdA(lAtw|hfntGWVl;QP8hB@ z5xcuWU~~^c%=A|qOL07Jm$tz4*Us1XLstYJdHkDTqh-=Is~ zL3=~#vkpFbhV9j6@pQw^-1)!qwFjAecnuZpJH%}8+x--Z>BY9HB6g>?R)EOZbF=&3 zKGI>cv-{}*t85B4u0k_@7f=h+X$g5ansiZUj$uc^_+AI)Go8uL!jW{B*v(wzS{YLm zA&Zkw6|CiNb7Kb_$87#7H0@h|g3t0yprica>A_*>_P1@7s!jtqNJjFYwKa~OlRO9= zucI5x&!VC}()SS?<>U!3LXfe661^xNX?#_Q-dTen6ArKKrvsqg;~m@k118Bu zytg-<;a#k zROkf8;rdYB<82@D@d6}0ol#y526{^eFuU-P9s~Tu0tlAn#;I$>?;5ET_4;J}VlV4? zg(0Fd3G^=9ZnR4EmY6wI}Eb3M6juFFNnp8gwH7C~~prkRJ2zFC=2r1pOd){E= zL|Uq{qQ))afZUAj;afOZ*VrAJ;I!b6SyD?Q!|<)rp;qO+EHd}UEugUPNWCWK8RCa` z)Ui-8x+h^HHkt)+-jVF6+prP({B6ic#W&X`SqNSVy`|g>T^VV)+bxy4KKfwqndLKG zY~GK@=2pU6@PbgCTpQqb&PAbjIY2{#0Okha9P$zRk|2{h7N3C;`WsqIp~h|h z!oOBHmfok9Y|q&8cJbUI!`%XAf#jSy9&i0oeZTP5v4o|F5=pl$d67D8hPFn!9SDRa zTMZ-kd^Vq%oVm0JebsRYVj+vti?_{FCmI!M?fPv=r>q*pQT*0*$+~Jv(CYoC)DGa) zpY738MX|YB-e=PJ2rq*Q+GKyt87JxoK7O@o^+Ij$3}Z4E;k+)9BNS7l5r#D_dzoW| zckjV;PVNQCv|v`Gu=tCbyMNMQmyWB(cxh!IUR_EXcFT!G#$%>u% ziPrKHM89#~dGdyNSXzI`g69(*8`<9m?zeRM>an{D@grXg5Yz}z7-G7FbH`$^*QXxlTT4E9&MMtQ6K?ctTt4A(o$TL zJ<2XrzWr)F<<_Jw$ET(WTWCsIvfv9Meh;>m-#}9hj@xS+nKO>5)Qlx)VKn*2En(W! z9iwaG)1%)~;uAKseXiq-4ie^JCN9R%5`PI09d(I9gVJFVT^+1t*$~OJ-1W--P>F)@?ZGzGI)9qM1+7Py!_q0{0qEw{ z`X0?EIGNx^B4*qZsb4B-0`@&yv+Gg6-`IVRVuN`0yugpTX_b%t(4nH6`(PRtfwCiu zr~O)x3w*I$B9QQ6=uKybDKd{@do$t8m3ipsPumq;oteRRgoRjW-1eZmao%9)=98M! zDgD#^Oxlk@cf$Lpp=vVg9&K(fc0Ka;wE%50TaS`;$HaX1k9E@EzIH%15hVibtzO8& zoMhIm8mbEVug#cg?V994MveaS&w~I-=w0_%!8nc6@zBD0v`{eWm7UQ0iUh3qYug6UhqVo6JDfnk&IlNx-HG zgde~bM&2}6RhURCDL7H+7`%y7SFM3Zv|TwQ4orQ^ck^OkK$Q&WvlU(oc6peAk9t72 z2E<#$fj5&Tr06v8bNE93{*bw46Fg!KSb4?JiP&Susfp5&?jrr-ue+-KFG9-y z?7u=QCgt5VVm1KEO*Sf=UC-C}b0F?f+^(s)W$)>H<8K8Ef>qkrG){*CPMJ9|VrXD# zbJ11a8G4{xbQCvIT)&XFT z9yV;A5MLL}G{Zvj31v5C@`AqiX;y<4*RKGf$xo2QEzXN3|^ku4RSLdIXQslBX&v7erX z9=)g6yJW!wfJMdmU6~lV(HB<}yaX^9J-{katn@EpvZ}boSENevwP3WRS@unMgwu`9 zw`;S`TKr=N=&0KXsvA$}X@q#el7g37-tJv4%bJ&f`-CgZ$A8IU28sL;_&MSjg|Ok& zM?I%fLM8R+ULb!>|AuaaS_hX7BBA=C3Fv*QcKb1ux2~P@~&$5 zeM6isCo6d5=(~hnuod!!gG!6U`oc+H2y%duFyqiB{z1t3z2BGZY7z613OH_aa!BRE zxJ?W^!d+zeENbhw^=#Uv%3@VYoTVA={qCoDz2J&xBNf)8Zk-tRmHhKDx2b37*;VND zY2!{px;H`di$Ue7DwC5P|9)wY@3?iAC+@J;ncwfO|Nl%pKXlM{ilZ@}yjYm>Fyjy0 z@&n1Zn1t4?#y`a>{aU8GW0ig`V}8RE(n!X*2`ntH_zmfxb8^{bdtBCo^J|$i4(BA1 zI7Orb!|Je)qyyDzJRBR=yR7Je4eMG~bjF4Oc}Q?hI?4DCJPbqP0L8CWa}28Y{araa zuI%ofgNmMQsf_*Bo^7{`eN5JhwSJ@Dq@rtM0cuy>(Z&?v|_AG~W!Ht22UXwzBhV-iU@49lts`nqd zat2-5VHx|DnJb-|Y|NGMglF5L*Txf`ZX0`I6@M*#%rH#lfAJ%KqsBBK7Gshb~yPXiA;u*6G>rtB%E3` zC%77Q&y`c-3dVD1s_pk|r)2EgW~?Y*WwSF^y05a;b;i?Nx0xE_Qf}K27p!{EGX5tV zgCT{zA{psab3oOo09THlE4#GkVA7Qx+jF4VyX}#&UzD|CeU)vgJ+9=o&Ad8(FJoUR zV?`YJqBY+6Y+KO>o6xmvJOWqDA(02+iWwweJd8lqoWs>9cUO+HD|@Qv;AYP@&=Y}- zm3>XN=#_Eyvu!5ue7a4CVVQl)bZ;!PXPMj$r=v;uDAH;w37m7VRs8d zxf%OSTZx`uRNS`%3Cp#K_+^3WzRANO<=!VOQV>_io34&ua*s(caC_eQ3>!#*V-iW; z|D=ww9_Zv1{UT;~fwjZ9$*vi!F}h@#&e1(b>U9Tn(>?p0{Wx$mp}N6}n-Iyj71S8O z;V;`e*ez=V8wAm|4lqdCfia#~JQYHd7^3d1hb=#G`4wNNCa28aRYiOCp)7~oMY9T8Gt2Hn3Fd?s9*Axk514Ht%!GsPd=!t{&07?`YGJP&o1=A z1A z+b^}$iZ3y2ZWtM5>7d?f(R}iY1G^xBl2<>5sXCKw#kZ{+Y_*d9WBHui@F(GxFH1AR zw3jZ1BuTw4nWJlvj#pd^&UGr>llP3@Lq#o1uGtCh3#sTnuRmL{cy;3dJ908j%26-6 z%X(RfX{}hl8qaKcRN>3J5b`dxdYN%!vBaqSWdZB^%P?O_&`!-7M;(_i2-^F-0bESa zPfoiLp)lFey#JgOo7iF&tu0p|7#(%H=ee%>8DGzHm`$(IwBb=Y)y_;jusKi_2}Gs~ z`&vYB?tj)wINMz-TknSY*A%6`nf2S}!yBorzE|`UEQ?s}=WC+PfwD-^GXcL5gVuf( z0}Z-wLuvh-y3OU+!-PYcj0+0wyMa#o;v0nmwh2F&i%#v)ZF{U8ybw($%!MQ;`IfH0 z+KokT9OI!y0O9<0mzF!OXC=FvOgAXvEYWS1=ij$71+zd9!39zNRR*QQ=(2JgF6uYa z^3IixXFyCJ93>X`BtWj1W}BXurW{!-JG@DX-8v?>b!UykPvg7+fGzLwr!de{R2FgKF`c9~bs`84z$X0*jW5rT9Vl#iQkq6g4J#Mv4EI1f=WTqR| zyb!3mnkTUe!}ps$Bl4|UQm;=ccqQKdbH0*;eY-pHHdMQc3>M#L;=|QUH=I9B9yeqU z4qJ;p>FBth8x^QDVy(zdT$wHcJ7UVYHv^#)wAfJQb@E|m)5t>J*VQ#I9U~rp$SLp3l92weiXZ{E*NN_JT7W;0AUtbFjS#p^4;SECZ1mT5dtMEdK zcYeBIDgF??M#b4B<13Y%*VoY{mT`N<$}w^{?Pn957i$}O$h3Oa<6BSGEt~4smJcuL zJecV}E}E8}vth7GJu86GZ|4!gJWDd-Yj-orN7H1Ee^x%XKXPbkH0os}>k3AG(e^PC zduxeQ`;N7IbyxW4kYq}z-Yfm`w8wXm>BbU^whqX6;BV&L^-EK%I#ZmGqTn**#;&Bs zw(=#T^RY$+ZAGR1Mc-HtwRVMt{+JS(uXe6}RhTdNx4fjZwa7J}U?+m9PcoWoQ&N6F zK&?3kEe?*37QJR^>Fhp~P%Ov$=aWeynC&E^WqQko;VbKY{bPIgI+KfZVG9c_KjZoEaQmuzrH9KZ*KY{XX;ujcr#3!(YeGG) zUi;RBeV%uYRqf>Gai^hYvi^HG@7N(`+-x=BLS8xEs+RP5+-2yf#u8S>zcsc#>DGjM zo_B+lPRD0i*ifA2Qh>C-rL=$8wWSVOfAQG*=vxyOdEQ^GYP&y=dkr-?4XtP_ZOizx zW9t`hJ&CufC4V+>8fpT}c?>nl7iZB}O-S@vV{#Ie!?babTVzMS!9UsPK97^7 zBUmGLX4}3AtQV4)ln0!Qp&GLOG-s%ld~VMGgk0(Tp83aCBf8-ooqI7PYiQ9Nq_PJF z?Lk}cU9E14?I-*cYtA41$4dRMd-Q=V$FGHx6a~4>A_lR>5`5j(J(SlaXTX+AM6(=R zTVxCVSF6wEJ;j%-WlD?M4AEjw-)#SCafy%Vbl}=r#j(&3Q3!kD^6oW-efbujxoPtG zy%WH>N`g#a8g6rO`e(Jp^5XQ?#?T_A1roH$G+1hq-!Y1BED3WDb^1h~y6)%>nTsU0 zbEqQ7H_sRh4_1)Y-rmX3NnvA1Bu1%~kf0aId=$@(Ux@DymsD-29b!c+9JqaG`LwPtcUtF@$3vtS!4};z{S6%`4-Xud<6eg92j`A%`8fkfOSZx}v&Lx&lAz9IKBZ zj7ILZWgEBe+|Q=8?cB*uXxlN#)@-Z0o=t4kGsuo=4bsj&+q$EkO=$_boSoHDcQHGp zB}gfot#Oow64d4SxpN{L`XflP)e3V2si1q3rSkmv^E1zntm&O1tXN*}VXRY*F&gy< zQ4Ej{!I_b*MbKu*T_qf4$f*;iH~y#)>NXlL5u!I7lmG_Orq9o%$iFSH@#ic-a3foh zz*ujTCk(GU$P)fr&z2$}){&BgoAS35(bh9{XVCQN9a%JHI!F>NOFCjmcn1GB`>2Dc zgMfpO1Fyr;S;Ug^F^1lp%uW*5hTg>9yB!DEKW#|IO<~|seb~iFz)n~La}y-4fuSo$ zrxS=Nw|b}pzs}4foae+CbtOmM?fe-e0j2u&fQO|dP^wVI#i-)y;qhjo9n!F!cn^u% zRORC0;&5JafBa}}CTS(znNTsHFgARb@#V?Nd5O)jp?2>$&CZPe3_W57g3s6Yeb0v* zm;JL2slGm8@*8IL_FqeTGl`22?;ldlHBkk4n$C&WS?>KzRA9V^Nq=*OrY4nX*vBy- zf2-t4z5H~U9br`wuEC!G=M(m*DGoO$#63MdE~2P*p`*}=C%cMY`f$31+QMw=(tM$W zvoh&$jN5zZ%D3 zy|t1miS95L;|qtWb^jE7xKZ~Z>fox)5%V0>{&(ja-Od4(T#wbDl8gRYb!di-t zeYuQ1Qs?)tP0@!_^t4s{%Thhmq4B$r=Uj!dh0TG*RHetM<(iP!r1Y_l33Dr z(7B+GPwiNHS2coUF1&YCgq}!?r2SC5;iDV&b!oBfY^>C-NmEoLdtgyqK)|Br1_vII zxduoN0*IOheZeW zhO|L?{IveSjPu5-6?{8_9h1Kv4zEP^O{Z`UF*SKRVp+OU0&VPT>b?J{6 ztaEZ{cxeSb; zCJ!FI7O{UH4kGlvIxM6Yc#L?%I6)MQhV)-@G27Ik KFA4l_p8Y>QljxZM diff --git a/firmware/db/valveboard_firmware.tis_db_list.ddb b/firmware/db/valveboard_firmware.tis_db_list.ddb deleted file mode 100644 index 7ec8e1216c7c9610cebd35dc5db085742b9ed777..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 238 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=Af;b5)v*5{s}(J zv1DdLgj|QSP4nZ}Ige^(|H|BrZGOZY)7)g+>>Tsg>5=W4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*J@u00000005l=00000002z@0000000000 z0083x00000004LaUvR$)VoVR$G?darwag=8vrNx2Iv7)eHh@^6Lq13zba!uin z%>UJqJZeY$+`*@pf3M&V3O;0h-%Pn zko@HMoPQhgWxR5I$X>0l`P(}FnCHVbj7T`PFn+{6y?@7aZ*e|JeiO!>gHE5n&iMJR z(^tC}M5@tC`6=GO>$hV2oEs4{Y?5B;+cd8Ew`uuJ^OyFG`45bLogdCQ9>33*(&?u& zG63Rwk@{+Q)AqM5-)f(x@3Od6A1l44pOs%}^ljUx$uA9;`ET9(L*7;Ke2M$}0KZ>2 zzgICoP8i3GiRWu-H;kwAsH9(af0b@u+|TNG$@TSD{VCRTz7F)E-^>0WoGPu*s9%K+ zzUTE1dH+rISY%h%Z`M9;<-XP9!+9~(dscq`jsBUv=j&zq+~f6~;-lfxUmE?g_$&yl zo`9DFO08;+EWqnirO!U6qF5Ul{zEcjsXRH^-Z0}~gpV7u^ zxQwrq7wW-KuSkEEPA~l>^_6&j-$gS`)`z9jui8F&zi=S-qo)12YI|25|F-SZ%Td*3&xBTkp%SDCt1T_i262zkiqXr+nT>`-2s?%3GY?@8?$k$oXU)Pu~3j00960 zcmZN!U}OkjU|=|qW$=L!NHYPk01!L+`o=qk`1{8D`n$xtI59DB00m(Hq)-ruOY@2| V)ALeO6yoDE^GeK200000|Nn(JZ`A+* diff --git a/firmware/incremental_db/README b/firmware/incremental_db/README deleted file mode 100644 index 9f62dcd..0000000 --- a/firmware/incremental_db/README +++ /dev/null @@ -1,11 +0,0 @@ -This folder contains data for incremental compilation. - -The compiled_partitions sub-folder contains previous compilation results for each partition. -As long as this folder is preserved, incremental compilation results from earlier compiles -can be re-used. To perform a clean compilation from source files for all partitions, both -the db and incremental_db folder should be removed. - -The imported_partitions sub-folder contains the last imported QXP for each imported partition. -As long as this folder is preserved, imported partitions will be automatically re-imported -when the db or incremental_db/compiled_partitions folders are removed. - diff --git a/firmware/incremental_db/compiled_partitions/valveboard_firmware.db_info b/firmware/incremental_db/compiled_partitions/valveboard_firmware.db_info deleted file mode 100644 index 52a7d04..0000000 --- a/firmware/incremental_db/compiled_partitions/valveboard_firmware.db_info +++ /dev/null @@ -1,3 +0,0 @@ -Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Version_Index = 520278016 -Creation_Time = Fri Dec 24 17:19:42 2021 diff --git a/firmware/incremental_db/compiled_partitions/valveboard_firmware.root_partition.map.kpt b/firmware/incremental_db/compiled_partitions/valveboard_firmware.root_partition.map.kpt deleted file mode 100644 index 5073d4a7a0e98da01fac072cafa48831713b1b33..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7637 zcmV;`9V+6i4*>uG0001Zob6prZ`??hyw9%?bf0#SnaNTC?mjHAm&F`rvA|x8fgoFD zH_@`Jm1NH}u-MF3JYWvflFTIY<@?B&krA(dySYEVe0y?z@p1d} z>6eSEFWa}DE^aT+-}kp4|9Nq{{psVy&H3B6rzcpjM{oD5U^P5lo_5I!X-S+$m*k3RHw_uJb){_NoozkcU0@2>C9-+#J2|F!?{>-m>Y zSN9hmw&(W`@BAkJGSo&@6d-^9^9kht+Bjz_`0AwHI*Jah@LGtC#KIHtdR`nFw<9`j|yvSB+F@s z)&TQNYbN7ozXMxTEu54wled=Bj#L%c!fh=t9!>ja*cfO!#$sn{d3OJ%1jh~$`(9Zm}?$!ITU>y=s5F`}){=%9cZq z<}q-GY`b&|zP0JnhlvJTnz<_X4n_dIEL)y@I086HmK*ORf)$zwGL?w2R8TD6-OH0; zQ-#?7f+j9_a|_)67Pyecb~c6SZY8XyD@-X3t|Zf&pUr6CKtzuVjD4l5$R30~%(V-? zUj|*T!;>=Rc%9|jJs9q~x}y4flE+p}$0T8}4c=LvycbNdblFuU7$l$pEbqPEe%M}q_;Y=3 zuc3!yu8YnMm5#CCb7rRF{^4gks}g#+dBoITJv|+qdZa1+nCY=BdB$|OGPdP7tJ@m? zxqiSyXv=$hG!Sp9>Sad=+wf=)%(xq3M+|-nk#|7|Kt($XoE7RY2^#`-Td+~NG4t&6 zXQ1Hx6J<2GA=_9kyjL26N9wD}>UjW+K*syV_8q8$P3U+KT+%+qBUkrx7W@T5>d}@&V`8*=I5gB@ zvK%0Q#>8ap1t0YB{DCoecG#GBt@IDF?iTD7==!f?$(K%)?)nJ#UF`-+BH3eu`6S*~(YEW!HfdN6QFB8EvY7THsnJ})A0u22Qe7B5R zgK2|-hrCT4uxl_;-~fCh46TVxgx6t-0oG!*Ft1$)0f#VAULy@#(B>x8YgiPcb(jhB z8e6wu31b4idU(@jV!L`Y^O#7ky~GK6mWkrp+uNXTk8hLF5wK$?G(wxet^1ER=iYMN z#BL400h}QdxHXoL!DwJ&xyA|$u!N)#UEocL#)NbohJP?bn6R$Vj10Q93GF)g3@~=u zz^>;rGWsoWMn=zdOo-RW>IEId1b6MFOK^_ra9zWM4|;|P?HXIR=)G98ivy3ww!iTgS% z(Lk>@v0r>IXbLV+6Y(`xFM;vL1brQrGGGifAzv>X>Y*lK?na@d z8IB19C(Oo#9h5Sl(M>Se=zawZ873ZVWc8vmg{Nn91S&m)!O4V(jST|E5*sCUSo@)l zK~ajJtBQx0pd=6`Sgc2RA53W2_WI)O)%G#T`B31ki4z;EZa~%&6D)RE6=0F7HIZU_ zhxVw6E8Tlgo}orZ$wW{i*mXBZbz`E!#tKR-9J!1LAC#D(?fO@-FPfsGc8;PSKy zy$&ypfs=3Iz+R{zz*TBu!bYn{bjm6Ys6ehKg2fAs%{UAUE#FKS*jO+HeZmBS9r^

ICH%nvUu?zgoxkrOb;MD41GG2rorv9i4Pmg(_pMH zL1JTLU_;c=dpXvpi4r?ZK;SHy7_sMrH&}8|NHGw`+nPwR9?qZ?Gz^^^B1?lA!Ni7* z)-$L>z^E{E16_*>8)i@NGfZ4q55IRM$JfgTQs}nVM2C&!XmD9E>|fvrM2<#}y=qZm zgE{~up|=$)(6`1EE6}GCIT|%Be76c5z=VUnaK-?}j9OIKFuj2`se^^Jo`Qhl1ViVF zP^~~eg93De@wJj7%n0gWJyM4tYaLnKM39X|TvR{<6U(69r`UK2hxTCSrq zHhh)`k5Q9}D0{&t(V+-%3b?mTb%3(g(SS}SqHL@UgLzFN*vBxpPbR2r==Px0jR`3m zOSqsos0lLLJJ`l{H_618^{`u;aI(=@2u{5TFnhtF(eEKBK|yCDR3cxld3XNvo4)S1 zpACQX$AI^@7uR=>y1YA@yZ?JiJmq1!KW;A%A2ag3W=%1XcyF?)(%kaBHXzO^;G1k5 z+*JCysg5X(R@hCp*5y?Cw28pTfsw(6$a%$GlL?JO)m;z z=tm0HCKDeAE7m3x4(F1rO(qs@^hOae?>}6(Hkl~7XJkC^kFmjWQ%U3|6E!z}QcHXj zc2^YLBACdzsnmFriJu$ChY>TzTqR|KBgC;r2uvl8WQ|&7gd7(b(I8+QE;O7>SRJf1 zoN8IshIdr(*=LB=(Amd3fT*M{r!PRv76W$QFav=p9;|H<=}cTh*+kO$MU+i69igJ^ zxUhl_#W?qhSVlIKZYrf?N=P#^5vz`b&7*jnP$D+;@o@46yIUsC4i+R%glHz(_#;#^ zQQ>cfeywE+K^tG^6hBTJ16#*@OWoygPG>pQ;_QYDoWxf!r8$$jO~lO zQ=KTMLs&G`k+yk6tWzCK&!J+SY>`ehn{aSECisF9oglzAfjn*Kp=2Et&mmKoC?p;g z)EWM^mMMg4l{@#yREU9a-gD5mYbo6JZsOpdVnXts5xXHq;`i_1(l!x#&!Dx4Z-QxL zz&4|a&U0ymCkB}ZRu6>mCzz!OPB(a-7|Bn{Nr+}U_AR-F&kf5~*@WMD#k{kLy7Q=c zXA^JdlJm|sdz(XRJDVswhuC(;)jdIMR5n3&9x3cxqwI-=n_NrTwyzHk2ZL-Ydpc#e zvjb)anwde_p&#?ZmA5lT>PA!+xq{gZ+%INI>$4>gI~gZ-16%aj#IcPFXAz&`0JMRN z%LKH!M87jC{E5|hxt8Q@u=!#ZmkDrlDSu}Q)<*2SvI%P&b4O~zi2ZlN#H?C9(Baio zVjNuL1Pm{=nxK1%TKK0>064}r1X&z--6KP6aa8)n^+C}bS)mUKLVU9cZktL8KHIvX zQz^k`6W<0a!Dogfj&eEsN(`F&aAG(Rqm@krn@e#%J1F5m>_)Bwv^mfr*~GJr>a!BjM(V=nTAk3LDVPmGom{9VkD5TY(Q|!fS=EunIV9q9 z9akJL4wOw?+ho$}xq>kcek7l<(UFMSJQL@xncDY`gtLv4LKCwAss)1y6_OSGdZ__jG&t!xYFvuel}W0MsAx;zzH%*+ zZJ+pp7>R+hGEU8~$$>{6CW`GD5-s9maNG;%HYS?QDfpHhC^oca$6;(BuTCalY#^Uf zHeqagikD!4Sbkgg~!T%RfOxQ2)>e) zNskQj#-TlJMs5_`E_qnv(4iR5XUgO;faCpO*s~^3P>1-ZTU+LUmRB7mpT-iLm0nqdG**#)twG8_VVuKQA^Z z+f=&b#l*9ry5z-#uuZ2+ULX`3L$zK^Ae&1wygr%a_OE_55AyGs2Z&ET?mdfun|;hF=@kICgX5~ zb}5+oYf09I4PfGEv)B@*PF?W=!qQ*|WIAR<2fI}8t$|jqlPdKHm={K?6dVDmvT*_P z!U&Zz=W4~op1Bmp3reU4KAn(8LYESQI%67qbv$bh4zyy!%_frPE+*^@mgg=e!fXP0 z?qY(@yz<<|#Gtw5xr>cHn@XO$m`F62a&{qrXy{zwC=@gTa%5`EnTiQP^U7xz6MBY9 zTNe{!=8?88btGw?34vSPpgdz?IMSFp&jgo^*4|9TVPeZhTU*RPjG!rjEi5))+C-Y# zr2=z?W+W4H=9Z!^CJ1c;De7WE(Y#XB#e|^2D$%79b~dR>bg5G@^{7M_LbDVs)oL|M zhw+L@t0pWB)p0Hq{){26D<=NTqZnN%IyB}+MZvLW;Bs(160ol3*HtK(<$=u3BXXf}uTb7!L2JlfA4 zNX7SbPk``!8d z*Q)rB=X~jJ?>}E$ot|8O{`e3DuI?T_`N^o?CvInqhd=uK@p|V)o2TI)fA=)_!M}gK z^ZhS9^RF*F^xzS%U+sL?<9a$=6{8EqS|%^r<@*wOIszN9%DzqZEMhK>s#n;dZ~|We zTM~y0;@RaewA;Z_7+VO>FN6Ju?fv7u1u(Dq+cUZOcUog%{+Z3+Jhg^p=6~9!pyr=< zsv35gP8TTCOX>WWrmB-q)zi5_1eLjS$`hnX7F5%&hB8X8uGsf5QC!#+%&ynJ(1B{Qk}h z+xD0)_^;^TKX0CF<(^XLN^Rb$N^~=AvZ>lR%8Q^fb5MD@E+DHYHnE>jSwGS7d_w16 zMx1OK`ObSsDC)SU>IinJD>kl0Q1P0d?W0fUCnYv1D&ueTo%|+TUuJ#zULy0@fUv$d z?+sSkmtt8!fxBd{94nSdkzxT`cNIQgJM_ne- zM`+W<$wbG=d1H!xs&-goBBspVp_Eq?JF@g){<%|1mhZd^Z~mD*MZfjpp~kaLRgs^1 z>`+}rOqn~T9Ko3Bs6E`u7&@8cx?GW5(?Q}s+0ng}iY#ND7!8$SmsOo$4TN6EtXTxOjrIk8e& z$ITU;pUA- zH_sO^^Rb|OgPP*FL)Xd6@-$g^&7Cm6w~H^w;%A=DcIH!IIm$5SIPs9hNj1r>1gQ_ef`O?=brgM}wnmIbICb_5NO|)`l=GQZt<`QXA*P)Voe@Sr%8mYXIOK_h&=9$!q=1G9y9sjZ z^EaVqb`&{$y)1<$SAQL@YnH+P*V2b`(>Wl0L>b}NZGPILrwb})adA|39Zv83Jd7f& z@4dUEw?2PqeBPOoPZST!o0tFV2sU%k^pXXXBWQUB)=amR*PB0>KV{~p%lOHxpJ~yD znQzz2XDZPQbTfnUnoq39%>d#@3M|V4_)akH-F;ys9}D0i$ZRF8tyuG(_7xm=@tTDZuAV0iRSB! znBu-Qsy`oy!$Dm}q4_eVGk=NAq|E$!Xh28rXXoB`>)S5Dlq>TTeT@`H9y$!g5h&i} zFu%_HYU?Ndn!(JPSMuFN*DT}2tJUL|3r+A5F~#3?k@xa4uMZaHcc&D+`Dwh{+@2zb zFlJt%W?o#Lex{me=IEL^a-e@lXSFcDKc&cfc{!i~GV|-Dd@}F+Gsj8wOch!sA^gPO z$Jd)bj=O~r8hxCkVLV}eKX_Vg{Y0~tg!TQ@N_yv~foXGh^5to=qgA)&o+^D%2?=Fg zP|-`C6<(hv%i>9~C{CcQiqAQxPzMC>apCmT{ zp^*Vi^{wfJoOd<)8YyoUB2l6j2hCm76FN>|c|lPYqN3Zb<#>a_W7xt|yg5Z*e3jtd zmzlrlD+(IK6V~@5P32Wm$G0cL{9g0xPdjPag1Ot1a!7XOIr3))o5)vH2^C%4&P(5; zC_LCC%EE_&ft(7~i`^k@soj*D*I_v9qM?BJD=H`SPYShu(9y8b7 z@_u)sZ>-Nv*CC%Nnt(8Zub=-*UwM@GzU67(1-*Mi|RClZ7tR!~E{TPhKLYZXt95`ujbZrk+_(TafTo1bsm%N|a&5XWNrMRHKE+YbRHDz3!cY7n0`kJUi!iBcx}3@5 zWNG4TLS}xo@e|L_IWwbU3GOLbM$zkB<~B}obmUX= zyS?2~_TBWhXS(Vu$IeTq2rJK%T?hgT4?Oi73La)2=J)cW%VU40X(H!NlX^$t`_nUb z^5y-ULPIzsruZ8#@{^prSqO?k9)43WBA8Uc*z|=BN3|r!zl)Iy`etr!VR0R|rpWAEoJ2N_2B%)~9(Ar}KRp z8XVU5U-FY%pXTWf>-&`?{Vs)DSe|_|Ex#2p z#ZA~J&nP7_itcn+uf5a7CRNzVmv1M^LYN~Oy-ZQ2>Dt3n+_!w?UGKCBA}Sp&SKi7m z(aP7gUDop0UsemDHa!9a`$BrTwDiSvGexs}9M07KPwpQ7^y@#mKfiqY%U}K<6Svfr D8*u~| diff --git a/firmware/output_files/valveboard_firmware.asm.rpt b/firmware/output_files/valveboard_firmware.asm.rpt deleted file mode 100644 index a25ae03..0000000 --- a/firmware/output_files/valveboard_firmware.asm.rpt +++ /dev/null @@ -1,92 +0,0 @@ -Assembler report for valveboard_firmware -Sun Dec 26 13:11:41 2021 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Assembler Summary - 3. Assembler Settings - 4. Assembler Generated Files - 5. Assembler Device Options: C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.pof - 6. Assembler Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------+ -; Assembler Summary ; -+-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Dec 26 13:11:41 2021 ; -; Revision Name ; valveboard_firmware ; -; Top-level Entity Name ; valveboard_firmware ; -; Family ; MAX II ; -; Device ; EPM1270T144C5 ; -+-----------------------+---------------------------------------+ - - -+----------------------------------+ -; Assembler Settings ; -+--------+---------+---------------+ -; Option ; Setting ; Default Value ; -+--------+---------+---------------+ - - -+-------------------------------------------------------------------+ -; Assembler Generated Files ; -+-------------------------------------------------------------------+ -; File Name ; -+-------------------------------------------------------------------+ -; C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.pof ; -+-------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------+ -; Assembler Device Options: C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.pof ; -+----------------+----------------------------------------------------------------------------+ -; Option ; Setting ; -+----------------+----------------------------------------------------------------------------+ -; JTAG usercode ; 0x005D43EC ; -; Checksum ; 0x005D4406 ; -+----------------+----------------------------------------------------------------------------+ - - -+--------------------+ -; Assembler Messages ; -+--------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Assembler - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Sun Dec 26 13:11:40 2021 -Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (115031): Writing out detailed assembly data for power analysis -Info (115030): Assembler is generating device programming files -Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 4662 megabytes - Info: Processing ended: Sun Dec 26 13:11:41 2021 - Info: Elapsed time: 00:00:01 - Info: Total CPU time (on all processors): 00:00:01 - - diff --git a/firmware/output_files/valveboard_firmware.cdf b/firmware/output_files/valveboard_firmware.cdf index 9bcc741..9b00b1b 100644 --- a/firmware/output_files/valveboard_firmware.cdf +++ b/firmware/output_files/valveboard_firmware.cdf @@ -4,7 +4,7 @@ JedecChain; DefaultMfr(6E); P ActionCode(Cfg) - Device PartName(EPM1270T144) Path("C:/Users/guoyr/Desktop/qwert/output_files/") File("valveboard_firmware.pof") MfrSpec(OpMask(23) SEC_Device(EPM1270T144) Child_OpMask(2 7 7)); + Device PartName(EPM1270T144) Path("C:/Users/miaow/Desktop/firmware/output_files/") File("valveboard_firmware.pof") MfrSpec(OpMask(23) SEC_Device(EPM1270T144) Child_OpMask(2 7 7)); ChainEnd; diff --git a/firmware/output_files/valveboard_firmware.done b/firmware/output_files/valveboard_firmware.done index 79f3bc0..929f043 100644 --- a/firmware/output_files/valveboard_firmware.done +++ b/firmware/output_files/valveboard_firmware.done @@ -1 +1 @@ -Sun Dec 26 13:11:45 2021 +Thu May 26 22:10:32 2022 diff --git a/firmware/output_files/valveboard_firmware.fit.rpt b/firmware/output_files/valveboard_firmware.fit.rpt deleted file mode 100644 index 9b941b2..0000000 --- a/firmware/output_files/valveboard_firmware.fit.rpt +++ /dev/null @@ -1,835 +0,0 @@ -Fitter report for valveboard_firmware -Sun Dec 26 13:11:39 2021 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Fitter Summary - 3. Fitter Settings - 4. Parallel Compilation - 5. Pin-Out File - 6. Fitter Resource Usage Summary - 7. Input Pins - 8. Output Pins - 9. I/O Bank Usage - 10. All Package Pins - 11. Output Pin Default Load For Reported TCO - 12. Fitter Resource Utilization by Entity - 13. Delay Chain Summary - 14. Control Signals - 15. Global & Other Fast Signals - 16. Routing Usage Summary - 17. LAB Logic Elements - 18. LAB-wide Signals - 19. LAB Signals Sourced - 20. LAB Signals Sourced Out - 21. LAB Distinct Inputs - 22. Fitter Device Options - 23. Fitter Messages - 24. Fitter Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------------+ -; Fitter Summary ; -+-----------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sun Dec 26 13:11:39 2021 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; valveboard_firmware ; -; Top-level Entity Name ; valveboard_firmware ; -; Family ; MAX II ; -; Device ; EPM1270T144C5 ; -; Timing Models ; Final ; -; Total logic elements ; 460 / 1,270 ( 36 % ) ; -; Total pins ; 101 / 116 ( 87 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------+---------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Settings ; -+--------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Option ; Setting ; Default Value ; -+--------------------------------------------------------------------+--------------------------------+--------------------------------+ -; Device ; EPM1270T144C5 ; ; -; Minimum Core Junction Temperature ; 0 ; ; -; Maximum Core Junction Temperature ; 85 ; ; -; Fit Attempts to Skip ; 0 ; 0.0 ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Router Timing Optimization Level ; Normal ; Normal ; -; Placement Effort Multiplier ; 1.0 ; 1.0 ; -; Router Effort Multiplier ; 1.0 ; 1.0 ; -; Always Enable Input Buffers ; Off ; Off ; -; Optimize Hold Timing ; IO Paths and Minimum TPD Paths ; IO Paths and Minimum TPD Paths ; -; Optimize Multi-Corner Timing ; Off ; Off ; -; Guarantee I/O Paths Have Zero Hold Time at Fast Corner ; On ; On ; -; Power Optimization During Fitting ; Normal compilation ; Normal compilation ; -; Optimize Timing ; Normal compilation ; Normal compilation ; -; Optimize Timing for ECOs ; Off ; Off ; -; Regenerate Full Fit Report During ECO Compiles ; Off ; Off ; -; Optimize IOC Register Placement for Timing ; Normal ; Normal ; -; Limit to One Fitting Attempt ; Off ; Off ; -; Final Placement Optimizations ; Automatically ; Automatically ; -; Fitter Aggressive Routability Optimizations ; Automatically ; Automatically ; -; Fitter Initial Placement Seed ; 1 ; 1 ; -; Periphery to Core Placement and Routing Optimization ; Off ; Off ; -; Slow Slew Rate ; Off ; Off ; -; PCI I/O ; Off ; Off ; -; Weak Pull-Up Resistor ; Off ; Off ; -; Enable Bus-Hold Circuitry ; Off ; Off ; -; Auto Delay Chains ; On ; On ; -; Auto Delay Chains for High Fanout Input Pins ; Off ; Off ; -; Perform Physical Synthesis for Combinational Logic for Performance ; Off ; Off ; -; Perform Register Duplication for Performance ; Off ; Off ; -; Perform Register Retiming for Performance ; Off ; Off ; -; Perform Asynchronous Signal Pipelining ; Off ; Off ; -; Fitter Effort ; Auto Fit ; Auto Fit ; -; Physical Synthesis Effort Level ; Normal ; Normal ; -; Logic Cell Insertion - Logic Duplication ; Auto ; Auto ; -; Auto Register Duplication ; Auto ; Auto ; -; Auto Global Clock ; On ; On ; -; Auto Global Register Control Signals ; On ; On ; -; Force Fitter to Avoid Periphery Placement Warnings ; Off ; Off ; -+--------------------------------------------------------------------+--------------------------------+--------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.01 ; -; Maximum used ; 2 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -; Processor 2 ; 1.0% ; -+----------------------------+-------------+ - - -+--------------+ -; Pin-Out File ; -+--------------+ -The pin-out file can be found in C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.pin. - - -+---------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+---------------------------------------------+-----------------------+ -; Resource ; Usage ; -+---------------------------------------------+-----------------------+ -; Total logic elements ; 460 / 1,270 ( 36 % ) ; -; -- Combinational with no register ; 147 ; -; -- Register only ; 10 ; -; -- Combinational with a register ; 303 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 229 ; -; -- 3 input functions ; 111 ; -; -- 2 input functions ; 105 ; -; -- 1 input functions ; 5 ; -; -- 0 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 367 ; -; -- arithmetic mode ; 93 ; -; -- qfbk mode ; 7 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 77 ; -; -- asynchronous clear/load mode ; 311 ; -; ; ; -; Total registers ; 313 / 1,270 ( 25 % ) ; -; Total LABs ; 51 / 127 ( 40 % ) ; -; Logic elements in carry chains ; 96 ; -; Virtual pins ; 0 ; -; I/O pins ; 101 / 116 ( 87 % ) ; -; -- Clock pins ; 3 / 4 ( 75 % ) ; -; ; ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -; ; ; -; -- Total Fixed Point DSP Blocks ; 0 ; -; -- Total Floating Point DSP Blocks ; 0 ; -; ; ; -; Global signals ; 2 ; -; -- Global clocks ; 2 / 4 ( 50 % ) ; -; JTAGs ; 0 / 1 ( 0 % ) ; -; Average interconnect usage (total/H/V) ; 14.5% / 16.0% / 12.9% ; -; Peak interconnect usage (total/H/V) ; 16.1% / 16.7% / 15.4% ; -; Maximum fan-out ; 313 ; -; Highest non-global fan-out ; 181 ; -; Total fan-out ; 2471 ; -; Average fan-out ; 4.40 ; -+---------------------------------------------+-----------------------+ - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Input Pins ; -+------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Combinational Fan-Out ; Registered Fan-Out ; Global ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Location assigned by ; Slow Slew Rate ; -+------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ -; line_sclk ; 41 ; 4 ; 3 ; 3 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; line_sdata ; 39 ; 4 ; 2 ; 3 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; line_sen ; 40 ; 4 ; 3 ; 3 ; 1 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; rst_n ; 37 ; 4 ; 1 ; 3 ; 0 ; 313 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -; sys_clk ; 18 ; 1 ; 0 ; 7 ; 5 ; 313 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; User ; no ; -+------------+-------+----------+--------------+--------------+-------------+-----------------------+--------------------+--------+-----------------+----------+--------------+--------------+----------------------+----------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Output Pins ; -+-------------------------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Cell number ; Output Register ; Slow Slew Rate ; PCI I/O Enabled ; Open Drain ; TRI Primitive ; Bus Hold ; Weak Pull Up ; I/O Standard ; Current Strength ; Fast Output Connection ; Location assigned by ; Load ; Output Enable Source ; Output Enable Group ; -+-------------------------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ -; signal_high_voltage[0] ; 43 ; 4 ; 5 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[10] ; 67 ; 4 ; 12 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[11] ; 68 ; 4 ; 13 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[12] ; 73 ; 3 ; 17 ; 1 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[13] ; 74 ; 3 ; 17 ; 1 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[14] ; 75 ; 3 ; 17 ; 1 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[15] ; 76 ; 3 ; 17 ; 2 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[16] ; 81 ; 3 ; 17 ; 4 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[17] ; 84 ; 3 ; 17 ; 4 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[18] ; 85 ; 3 ; 17 ; 5 ; 5 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[19] ; 86 ; 3 ; 17 ; 5 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[1] ; 44 ; 4 ; 5 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[20] ; 93 ; 3 ; 17 ; 6 ; 5 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[21] ; 94 ; 3 ; 17 ; 6 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[22] ; 95 ; 3 ; 17 ; 6 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[23] ; 96 ; 3 ; 17 ; 6 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[24] ; 103 ; 3 ; 17 ; 8 ; 5 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[25] ; 104 ; 3 ; 17 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[26] ; 105 ; 3 ; 17 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[27] ; 106 ; 3 ; 17 ; 9 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[28] ; 113 ; 2 ; 13 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[29] ; 114 ; 2 ; 12 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[2] ; 45 ; 4 ; 6 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[30] ; 117 ; 2 ; 11 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[31] ; 118 ; 2 ; 11 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[32] ; 123 ; 2 ; 9 ; 11 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[33] ; 124 ; 2 ; 9 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[34] ; 125 ; 2 ; 9 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[35] ; 127 ; 2 ; 9 ; 11 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[36] ; 133 ; 2 ; 7 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[37] ; 134 ; 2 ; 7 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[38] ; 137 ; 2 ; 6 ; 11 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[39] ; 138 ; 2 ; 5 ; 11 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[3] ; 48 ; 4 ; 7 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[40] ; 1 ; 1 ; 0 ; 10 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[41] ; 2 ; 1 ; 0 ; 10 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[42] ; 3 ; 1 ; 0 ; 10 ; 5 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[43] ; 4 ; 1 ; 0 ; 9 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[44] ; 12 ; 1 ; 0 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[45] ; 13 ; 1 ; 0 ; 7 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[46] ; 14 ; 1 ; 0 ; 7 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[47] ; 15 ; 1 ; 0 ; 7 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[4] ; 53 ; 4 ; 8 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[5] ; 55 ; 4 ; 8 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[6] ; 57 ; 4 ; 8 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[7] ; 58 ; 4 ; 9 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_high_voltage[8] ; 63 ; 4 ; 10 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; signal_high_voltage[9] ; 66 ; 4 ; 12 ; 0 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[0] ; 49 ; 4 ; 7 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[10] ; 71 ; 4 ; 16 ; 0 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[11] ; 72 ; 4 ; 16 ; 0 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[12] ; 77 ; 3 ; 17 ; 2 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[13] ; 78 ; 3 ; 17 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[14] ; 79 ; 3 ; 17 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[15] ; 80 ; 3 ; 17 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[16] ; 87 ; 3 ; 17 ; 5 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[17] ; 88 ; 3 ; 17 ; 5 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[18] ; 89 ; 3 ; 17 ; 5 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[19] ; 91 ; 3 ; 17 ; 5 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[1] ; 50 ; 4 ; 7 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[20] ; 97 ; 3 ; 17 ; 6 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[21] ; 98 ; 3 ; 17 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[22] ; 101 ; 3 ; 17 ; 7 ; 4 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[23] ; 102 ; 3 ; 17 ; 7 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[24] ; 109 ; 2 ; 16 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[25] ; 110 ; 2 ; 16 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[26] ; 111 ; 2 ; 15 ; 11 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[27] ; 112 ; 2 ; 14 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[28] ; 119 ; 2 ; 11 ; 11 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[29] ; 120 ; 2 ; 10 ; 11 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[2] ; 51 ; 4 ; 7 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[30] ; 121 ; 2 ; 10 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[31] ; 122 ; 2 ; 10 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[32] ; 129 ; 2 ; 8 ; 11 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[33] ; 130 ; 2 ; 8 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[34] ; 131 ; 2 ; 8 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[35] ; 132 ; 2 ; 7 ; 11 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[36] ; 139 ; 2 ; 5 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[37] ; 140 ; 2 ; 4 ; 11 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[38] ; 141 ; 2 ; 4 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[39] ; 142 ; 2 ; 3 ; 11 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[3] ; 52 ; 4 ; 8 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[40] ; 5 ; 1 ; 0 ; 9 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[41] ; 6 ; 1 ; 0 ; 9 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[42] ; 7 ; 1 ; 0 ; 8 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[43] ; 8 ; 1 ; 0 ; 8 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[44] ; 21 ; 1 ; 0 ; 6 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[45] ; 22 ; 1 ; 0 ; 6 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[46] ; 23 ; 1 ; 0 ; 6 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[47] ; 24 ; 1 ; 0 ; 6 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[4] ; 59 ; 4 ; 9 ; 3 ; 1 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; signal_low_voltage[5] ; 60 ; 4 ; 9 ; 3 ; 0 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; signal_low_voltage[6] ; 61 ; 4 ; 10 ; 3 ; 3 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[7] ; 62 ; 4 ; 10 ; 3 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; yes ; User ; 10 pF ; - ; - ; -; signal_low_voltage[8] ; 69 ; 4 ; 14 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -; signal_low_voltage[9] ; 70 ; 4 ; 15 ; 0 ; 2 ; no ; no ; no ; no ; no ; no ; Off ; 3.3-V LVTTL ; 16mA ; no ; User ; 10 pF ; - ; - ; -+-------------------------+-------+----------+--------------+--------------+-------------+-----------------+----------------+-----------------+------------+---------------+----------+--------------+--------------+------------------+------------------------+----------------------+-------+----------------------+---------------------+ - - -+------------------------------------------------------------+ -; I/O Bank Usage ; -+----------+------------------+---------------+--------------+ -; I/O Bank ; Usage ; VCCIO Voltage ; VREF Voltage ; -+----------+------------------+---------------+--------------+ -; 1 ; 17 / 26 ( 65 % ) ; 3.3V ; -- ; -; 2 ; 28 / 30 ( 93 % ) ; 3.3V ; -- ; -; 3 ; 28 / 30 ( 93 % ) ; 3.3V ; -- ; -; 4 ; 28 / 30 ( 93 % ) ; 3.3V ; -- ; -+----------+------------------+---------------+--------------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------+ -; All Package Pins ; -+----------+------------+----------+-------------------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; Location ; Pad Number ; I/O Bank ; Pin Name/Usage ; Dir. ; I/O Standard ; Voltage ; I/O Type ; User Assignment ; Bus Hold ; Weak Pull Up ; -+----------+------------+----------+-------------------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -; 1 ; 2 ; 1 ; signal_high_voltage[40] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 2 ; 3 ; 1 ; signal_high_voltage[41] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 3 ; 5 ; 1 ; signal_high_voltage[42] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 4 ; 7 ; 1 ; signal_high_voltage[43] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 5 ; 9 ; 1 ; signal_low_voltage[40] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 6 ; 10 ; 1 ; signal_low_voltage[41] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 7 ; 14 ; 1 ; signal_low_voltage[42] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 8 ; 15 ; 1 ; signal_low_voltage[43] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 9 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 10 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 11 ; 20 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 12 ; 21 ; 1 ; signal_high_voltage[44] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 13 ; 22 ; 1 ; signal_high_voltage[45] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 14 ; 23 ; 1 ; signal_high_voltage[46] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 15 ; 24 ; 1 ; signal_high_voltage[47] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 16 ; 25 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 17 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 18 ; 26 ; 1 ; sys_clk ; input ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 19 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 20 ; 27 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 21 ; 28 ; 1 ; signal_low_voltage[44] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 22 ; 29 ; 1 ; signal_low_voltage[45] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 23 ; 30 ; 1 ; signal_low_voltage[46] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 24 ; 31 ; 1 ; signal_low_voltage[47] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 25 ; ; 1 ; VCCIO1 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 26 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 27 ; 33 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 28 ; 36 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 29 ; 37 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 30 ; 41 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 31 ; 44 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 32 ; 47 ; 1 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 33 ; 50 ; 1 ; #TMS ; input ; ; ; -- ; ; -- ; -- ; -; 34 ; 51 ; 1 ; #TDI ; input ; ; ; -- ; ; -- ; -- ; -; 35 ; 52 ; 1 ; #TCK ; input ; ; ; -- ; ; -- ; -- ; -; 36 ; 53 ; 1 ; #TDO ; output ; ; ; -- ; ; -- ; -- ; -; 37 ; 56 ; 4 ; rst_n ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 38 ; 57 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 39 ; 60 ; 4 ; line_sdata ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 40 ; 62 ; 4 ; line_sen ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 41 ; 63 ; 4 ; line_sclk ; input ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 42 ; 67 ; 4 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 43 ; 68 ; 4 ; signal_high_voltage[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 44 ; 69 ; 4 ; signal_high_voltage[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 45 ; 74 ; 4 ; signal_high_voltage[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 46 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 47 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 48 ; 75 ; 4 ; signal_high_voltage[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 49 ; 76 ; 4 ; signal_low_voltage[0] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 50 ; 77 ; 4 ; signal_low_voltage[1] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 51 ; 78 ; 4 ; signal_low_voltage[2] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 52 ; 79 ; 4 ; signal_low_voltage[3] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 53 ; 80 ; 4 ; signal_high_voltage[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 54 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 55 ; 81 ; 4 ; signal_high_voltage[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 56 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 57 ; 82 ; 4 ; signal_high_voltage[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 58 ; 83 ; 4 ; signal_high_voltage[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 59 ; 84 ; 4 ; signal_low_voltage[4] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 60 ; 85 ; 4 ; signal_low_voltage[5] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 61 ; 86 ; 4 ; signal_low_voltage[6] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 62 ; 87 ; 4 ; signal_low_voltage[7] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 63 ; 88 ; 4 ; signal_high_voltage[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 64 ; ; 4 ; VCCIO4 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 65 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 66 ; 91 ; 4 ; signal_high_voltage[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 67 ; 92 ; 4 ; signal_high_voltage[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 68 ; 95 ; 4 ; signal_high_voltage[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 69 ; 98 ; 4 ; signal_low_voltage[8] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 70 ; 101 ; 4 ; signal_low_voltage[9] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 71 ; 104 ; 4 ; signal_low_voltage[10] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 72 ; 107 ; 4 ; signal_low_voltage[11] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 73 ; 111 ; 3 ; signal_high_voltage[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 74 ; 112 ; 3 ; signal_high_voltage[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 75 ; 113 ; 3 ; signal_high_voltage[14] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 76 ; 115 ; 3 ; signal_high_voltage[15] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 77 ; 118 ; 3 ; signal_low_voltage[12] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 78 ; 122 ; 3 ; signal_low_voltage[13] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 79 ; 123 ; 3 ; signal_low_voltage[14] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 80 ; 124 ; 3 ; signal_low_voltage[15] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 81 ; 127 ; 3 ; signal_high_voltage[16] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 82 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 83 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 84 ; 129 ; 3 ; signal_high_voltage[17] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 85 ; 130 ; 3 ; signal_high_voltage[18] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 86 ; 131 ; 3 ; signal_high_voltage[19] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 87 ; 132 ; 3 ; signal_low_voltage[16] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 88 ; 133 ; 3 ; signal_low_voltage[17] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 89 ; 134 ; 3 ; signal_low_voltage[18] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 90 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 91 ; 135 ; 3 ; signal_low_voltage[19] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 92 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 93 ; 136 ; 3 ; signal_high_voltage[20] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 94 ; 137 ; 3 ; signal_high_voltage[21] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 95 ; 138 ; 3 ; signal_high_voltage[22] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 96 ; 139 ; 3 ; signal_high_voltage[23] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 97 ; 140 ; 3 ; signal_low_voltage[20] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 98 ; 141 ; 3 ; signal_low_voltage[21] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 99 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 100 ; ; 3 ; VCCIO3 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 101 ; 142 ; 3 ; signal_low_voltage[22] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 102 ; 146 ; 3 ; signal_low_voltage[23] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 103 ; 147 ; 3 ; signal_high_voltage[24] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 104 ; 151 ; 3 ; signal_high_voltage[25] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 105 ; 152 ; 3 ; signal_high_voltage[26] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 106 ; 154 ; 3 ; signal_high_voltage[27] ; output ; 3.3-V LVTTL ; ; Row I/O ; Y ; no ; Off ; -; 107 ; 156 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 108 ; 158 ; 3 ; GND* ; ; ; ; Row I/O ; ; no ; Off ; -; 109 ; 164 ; 2 ; signal_low_voltage[24] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 110 ; 165 ; 2 ; signal_low_voltage[25] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 111 ; 166 ; 2 ; signal_low_voltage[26] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 112 ; 171 ; 2 ; signal_low_voltage[27] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 113 ; 174 ; 2 ; signal_high_voltage[28] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 114 ; 177 ; 2 ; signal_high_voltage[29] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 115 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 116 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 117 ; 180 ; 2 ; signal_high_voltage[30] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 118 ; 181 ; 2 ; signal_high_voltage[31] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 119 ; 182 ; 2 ; signal_low_voltage[28] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 120 ; 183 ; 2 ; signal_low_voltage[29] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 121 ; 184 ; 2 ; signal_low_voltage[30] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 122 ; 185 ; 2 ; signal_low_voltage[31] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 123 ; 186 ; 2 ; signal_high_voltage[32] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 124 ; 187 ; 2 ; signal_high_voltage[33] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 125 ; 188 ; 2 ; signal_high_voltage[34] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 126 ; ; ; VCCINT ; power ; ; 2.5V/3.3V ; -- ; ; -- ; -- ; -; 127 ; 189 ; 2 ; signal_high_voltage[35] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 128 ; ; ; GNDINT ; gnd ; ; ; -- ; ; -- ; -- ; -; 129 ; 190 ; 2 ; signal_low_voltage[32] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 130 ; 191 ; 2 ; signal_low_voltage[33] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 131 ; 192 ; 2 ; signal_low_voltage[34] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 132 ; 193 ; 2 ; signal_low_voltage[35] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 133 ; 194 ; 2 ; signal_high_voltage[36] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 134 ; 195 ; 2 ; signal_high_voltage[37] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 135 ; ; ; GNDIO ; gnd ; ; ; -- ; ; -- ; -- ; -; 136 ; ; 2 ; VCCIO2 ; power ; ; 3.3V ; -- ; ; -- ; -- ; -; 137 ; 199 ; 2 ; signal_high_voltage[38] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 138 ; 200 ; 2 ; signal_high_voltage[39] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 139 ; 201 ; 2 ; signal_low_voltage[36] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 140 ; 204 ; 2 ; signal_low_voltage[37] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 141 ; 205 ; 2 ; signal_low_voltage[38] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 142 ; 208 ; 2 ; signal_low_voltage[39] ; output ; 3.3-V LVTTL ; ; Column I/O ; Y ; no ; Off ; -; 143 ; 212 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -; 144 ; 215 ; 2 ; GND* ; ; ; ; Column I/O ; ; no ; Off ; -+----------+------------+----------+-------------------------+--------+--------------+-----------+------------+-----------------+----------+--------------+ -Note: Pin directions (input, output or bidir) are based on device operating in user mode. - - -+-------------------------------------------------------------+ -; Output Pin Default Load For Reported TCO ; -+----------------------------+-------+------------------------+ -; I/O Standard ; Load ; Termination Resistance ; -+----------------------------+-------+------------------------+ -; 3.3-V LVTTL ; 10 pF ; Not Available ; -; 3.3-V LVCMOS ; 10 pF ; Not Available ; -; 2.5 V ; 10 pF ; Not Available ; -; 1.8 V ; 10 pF ; Not Available ; -; 1.5 V ; 10 pF ; Not Available ; -; 3.3V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 2.5V Schmitt Trigger Input ; 10 pF ; Not Available ; -; 3.3-V PCI ; 10 pF ; 25 Ohm (Parallel) ; -+----------------------------+-------+------------------------+ -Note: User assignments will override these defaults. The user specified values are listed in the Output Pins and Bidir Pins tables. - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+----------------------+---------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+----------------------+---------------------+--------------+ -; |valveboard_firmware ; 460 (460) ; 313 ; 0 ; 101 ; 0 ; 147 (147) ; 10 (10) ; 303 (303) ; 96 (96) ; 7 (7) ; |valveboard_firmware ; valveboard_firmware ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+----------------------+---------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+----------------------------------------------------+ -; Delay Chain Summary ; -+-------------------------+----------+---------------+ -; Name ; Pin Type ; Pad to Core 0 ; -+-------------------------+----------+---------------+ -; signal_high_voltage[0] ; Output ; -- ; -; signal_high_voltage[1] ; Output ; -- ; -; signal_high_voltage[2] ; Output ; -- ; -; signal_high_voltage[3] ; Output ; -- ; -; signal_high_voltage[4] ; Output ; -- ; -; signal_high_voltage[5] ; Output ; -- ; -; signal_high_voltage[6] ; Output ; -- ; -; signal_high_voltage[7] ; Output ; -- ; -; signal_high_voltage[8] ; Output ; -- ; -; signal_high_voltage[9] ; Output ; -- ; -; signal_high_voltage[10] ; Output ; -- ; -; signal_high_voltage[11] ; Output ; -- ; -; signal_high_voltage[12] ; Output ; -- ; -; signal_high_voltage[13] ; Output ; -- ; -; signal_high_voltage[14] ; Output ; -- ; -; signal_high_voltage[15] ; Output ; -- ; -; signal_high_voltage[16] ; Output ; -- ; -; signal_high_voltage[17] ; Output ; -- ; -; signal_high_voltage[18] ; Output ; -- ; -; signal_high_voltage[19] ; Output ; -- ; -; signal_high_voltage[20] ; Output ; -- ; -; signal_high_voltage[21] ; Output ; -- ; -; signal_high_voltage[22] ; Output ; -- ; -; signal_high_voltage[23] ; Output ; -- ; -; signal_high_voltage[24] ; Output ; -- ; -; signal_high_voltage[25] ; Output ; -- ; -; signal_high_voltage[26] ; Output ; -- ; -; signal_high_voltage[27] ; Output ; -- ; -; signal_high_voltage[28] ; Output ; -- ; -; signal_high_voltage[29] ; Output ; -- ; -; signal_high_voltage[30] ; Output ; -- ; -; signal_high_voltage[31] ; Output ; -- ; -; signal_high_voltage[32] ; Output ; -- ; -; signal_high_voltage[33] ; Output ; -- ; -; signal_high_voltage[34] ; Output ; -- ; -; signal_high_voltage[35] ; Output ; -- ; -; signal_high_voltage[36] ; Output ; -- ; -; signal_high_voltage[37] ; Output ; -- ; -; signal_high_voltage[38] ; Output ; -- ; -; signal_high_voltage[39] ; Output ; -- ; -; signal_high_voltage[40] ; Output ; -- ; -; signal_high_voltage[41] ; Output ; -- ; -; signal_high_voltage[42] ; Output ; -- ; -; signal_high_voltage[43] ; Output ; -- ; -; signal_high_voltage[44] ; Output ; -- ; -; signal_high_voltage[45] ; Output ; -- ; -; signal_high_voltage[46] ; Output ; -- ; -; signal_high_voltage[47] ; Output ; -- ; -; signal_low_voltage[0] ; Output ; -- ; -; signal_low_voltage[1] ; Output ; -- ; -; signal_low_voltage[2] ; Output ; -- ; -; signal_low_voltage[3] ; Output ; -- ; -; signal_low_voltage[4] ; Output ; -- ; -; signal_low_voltage[5] ; Output ; -- ; -; signal_low_voltage[6] ; Output ; -- ; -; signal_low_voltage[7] ; Output ; -- ; -; signal_low_voltage[8] ; Output ; -- ; -; signal_low_voltage[9] ; Output ; -- ; -; signal_low_voltage[10] ; Output ; -- ; -; signal_low_voltage[11] ; Output ; -- ; -; signal_low_voltage[12] ; Output ; -- ; -; signal_low_voltage[13] ; Output ; -- ; -; signal_low_voltage[14] ; Output ; -- ; -; signal_low_voltage[15] ; Output ; -- ; -; signal_low_voltage[16] ; Output ; -- ; -; signal_low_voltage[17] ; Output ; -- ; -; signal_low_voltage[18] ; Output ; -- ; -; signal_low_voltage[19] ; Output ; -- ; -; signal_low_voltage[20] ; Output ; -- ; -; signal_low_voltage[21] ; Output ; -- ; -; signal_low_voltage[22] ; Output ; -- ; -; signal_low_voltage[23] ; Output ; -- ; -; signal_low_voltage[24] ; Output ; -- ; -; signal_low_voltage[25] ; Output ; -- ; -; signal_low_voltage[26] ; Output ; -- ; -; signal_low_voltage[27] ; Output ; -- ; -; signal_low_voltage[28] ; Output ; -- ; -; signal_low_voltage[29] ; Output ; -- ; -; signal_low_voltage[30] ; Output ; -- ; -; signal_low_voltage[31] ; Output ; -- ; -; signal_low_voltage[32] ; Output ; -- ; -; signal_low_voltage[33] ; Output ; -- ; -; signal_low_voltage[34] ; Output ; -- ; -; signal_low_voltage[35] ; Output ; -- ; -; signal_low_voltage[36] ; Output ; -- ; -; signal_low_voltage[37] ; Output ; -- ; -; signal_low_voltage[38] ; Output ; -- ; -; signal_low_voltage[39] ; Output ; -- ; -; signal_low_voltage[40] ; Output ; -- ; -; signal_low_voltage[41] ; Output ; -- ; -; signal_low_voltage[42] ; Output ; -- ; -; signal_low_voltage[43] ; Output ; -- ; -; signal_low_voltage[44] ; Output ; -- ; -; signal_low_voltage[45] ; Output ; -- ; -; signal_low_voltage[46] ; Output ; -- ; -; signal_low_voltage[47] ; Output ; -- ; -; sys_clk ; Input ; (0) ; -; rst_n ; Input ; (1) ; -; line_sen ; Input ; (1) ; -; line_sclk ; Input ; (1) ; -; line_sdata ; Input ; (1) ; -+-------------------------+----------+---------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+-----------------------------------+--------------+---------+----------------------------+--------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; -+-----------------------------------+--------------+---------+----------------------------+--------+----------------------+------------------+ -; Equal0~1 ; LC_X8_Y9_N2 ; 34 ; Sync. clear ; no ; -- ; -- ; -; cache2_line_sdata[45]~50 ; LC_X11_Y5_N8 ; 48 ; Clock enable ; no ; -- ; -- ; -; cnt_for_high_voltage_time[18]~129 ; LC_X14_Y5_N5 ; 32 ; Clock enable ; no ; -- ; -- ; -; cnt_for_high_voltage_time~128 ; LC_X10_Y6_N8 ; 51 ; Sync. clear ; no ; -- ; -- ; -; fault_counter[26]~69 ; LC_X9_Y10_N7 ; 32 ; Clock enable ; no ; -- ; -- ; -; i[26]~68 ; LC_X9_Y6_N8 ; 32 ; Sync. clear ; no ; -- ; -- ; -; i[26]~69 ; LC_X9_Y6_N9 ; 32 ; Clock enable ; no ; -- ; -- ; -; rst_n ; PIN_37 ; 313 ; Async. clear, Clock enable ; yes ; Global Clock ; GCLK3 ; -; sys_clk ; PIN_18 ; 313 ; Clock ; yes ; Global Clock ; GCLK0 ; -+-----------------------------------+--------------+---------+----------------------------+--------+----------------------+------------------+ - - -+------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+---------+----------+---------+----------------------+------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; -+---------+----------+---------+----------------------+------------------+ -; rst_n ; PIN_37 ; 313 ; Global Clock ; GCLK3 ; -; sys_clk ; PIN_18 ; 313 ; Global Clock ; GCLK0 ; -+---------+----------+---------+----------------------+------------------+ - - -+----------------------------------------------+ -; Routing Usage Summary ; -+-----------------------+----------------------+ -; Routing Resource Type ; Usage ; -+-----------------------+----------------------+ -; C4s ; 301 / 2,870 ( 10 % ) ; -; Direct links ; 80 / 3,938 ( 2 % ) ; -; Global clocks ; 2 / 4 ( 50 % ) ; -; LAB clocks ; 24 / 72 ( 33 % ) ; -; LUT chains ; 57 / 1,143 ( 5 % ) ; -; Local interconnects ; 638 / 3,938 ( 16 % ) ; -; R4s ; 382 / 2,832 ( 13 % ) ; -+-----------------------+----------------------+ - - -+---------------------------------------------------------------------------+ -; LAB Logic Elements ; -+--------------------------------------------+------------------------------+ -; Number of Logic Elements (Average = 9.02) ; Number of LABs (Total = 51) ; -+--------------------------------------------+------------------------------+ -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 1 ; -; 6 ; 3 ; -; 7 ; 3 ; -; 8 ; 4 ; -; 9 ; 8 ; -; 10 ; 31 ; -+--------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------+ -; LAB-wide Signals ; -+------------------------------------+------------------------------+ -; LAB-wide Signals (Average = 2.63) ; Number of LABs (Total = 51) ; -+------------------------------------+------------------------------+ -; 1 Async. clear ; 47 ; -; 1 Clock ; 48 ; -; 1 Clock enable ; 30 ; -; 1 Sync. clear ; 9 ; -+------------------------------------+------------------------------+ - - -+----------------------------------------------------------------------------+ -; LAB Signals Sourced ; -+---------------------------------------------+------------------------------+ -; Number of Signals Sourced (Average = 9.16) ; Number of LABs (Total = 51) ; -+---------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 1 ; -; 3 ; 0 ; -; 4 ; 0 ; -; 5 ; 0 ; -; 6 ; 4 ; -; 7 ; 2 ; -; 8 ; 3 ; -; 9 ; 9 ; -; 10 ; 30 ; -; 11 ; 2 ; -+---------------------------------------------+------------------------------+ - - -+--------------------------------------------------------------------------------+ -; LAB Signals Sourced Out ; -+-------------------------------------------------+------------------------------+ -; Number of Signals Sourced Out (Average = 6.35) ; Number of LABs (Total = 51) ; -+-------------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 3 ; -; 2 ; 1 ; -; 3 ; 3 ; -; 4 ; 6 ; -; 5 ; 3 ; -; 6 ; 10 ; -; 7 ; 8 ; -; 8 ; 5 ; -; 9 ; 5 ; -; 10 ; 7 ; -+-------------------------------------------------+------------------------------+ - - -+-----------------------------------------------------------------------------+ -; LAB Distinct Inputs ; -+----------------------------------------------+------------------------------+ -; Number of Distinct Inputs (Average = 11.43) ; Number of LABs (Total = 51) ; -+----------------------------------------------+------------------------------+ -; 0 ; 0 ; -; 1 ; 0 ; -; 2 ; 0 ; -; 3 ; 2 ; -; 4 ; 1 ; -; 5 ; 5 ; -; 6 ; 0 ; -; 7 ; 0 ; -; 8 ; 3 ; -; 9 ; 8 ; -; 10 ; 6 ; -; 11 ; 5 ; -; 12 ; 3 ; -; 13 ; 4 ; -; 14 ; 2 ; -; 15 ; 1 ; -; 16 ; 4 ; -; 17 ; 3 ; -; 18 ; 1 ; -; 19 ; 0 ; -; 20 ; 0 ; -; 21 ; 0 ; -; 22 ; 0 ; -; 23 ; 1 ; -; 24 ; 0 ; -; 25 ; 2 ; -+----------------------------------------------+------------------------------+ - - -+-------------------------------------------------------------------------+ -; Fitter Device Options ; -+----------------------------------------------+--------------------------+ -; Option ; Setting ; -+----------------------------------------------+--------------------------+ -; Enable user-supplied start-up clock (CLKUSR) ; Off ; -; Enable device-wide reset (DEV_CLRn) ; Off ; -; Enable device-wide output enable (DEV_OE) ; Off ; -; Enable INIT_DONE output ; Off ; -; Configuration scheme ; Passive Serial ; -; Reserve all unused pins ; As output driving ground ; -+----------------------------------------------+--------------------------+ - - -+-----------------+ -; Fitter Messages ; -+-----------------+ -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (119006): Selected device EPM1270T144C5 for design "valveboard_firmware" -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. -Info (176444): Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices - Info (176445): Device EPM570T144C5 is compatible - Info (176445): Device EPM570T144I5 is compatible - Info (176445): Device EPM570T144A5 is compatible - Info (176445): Device EPM1270T144I5 is compatible - Info (176445): Device EPM1270T144A5 is compatible -Critical Warning (332012): Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332144): No user constrained base clocks found in the design -Info (332128): Timing requirements not specified -- optimizing circuit to achieve the following default global requirements - Info (332127): Assuming a default timing requirement -Info (332111): Found 1 clocks - Info (332111): Period Clock Name - Info (332111): ======== ============ - Info (332111): 1.000 sys_clk -Info (186079): Completed User Assigned Global Signals Promotion Operation -Info (186215): Automatically promoted signal "sys_clk" to use Global clock in PIN 18 File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 7 -Info (186216): Automatically promoted some destinations of signal "rst_n" to use Global clock File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 8 - Info (186217): Destination "negedge_line_sen" may be non-global or may not use global clock File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 104 - Info (186217): Destination "fiter_line_sdata" may be non-global or may not use global clock File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 85 -Info (186228): Pin "rst_n" drives global clock, but is not placed in a dedicated clock pin position File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 8 -Info (186079): Completed Auto Global Promotion Operation -Info (176234): Starting register packing -Info (186468): Started processing fast register assignments -Info (186469): Finished processing fast register assignments -Info (176235): Finished register packing -Info (171121): Fitter preparation operations ending: elapsed time is 00:00:01 -Info (14896): Fitter has disabled Advanced Physical Optimization because it is not supported for the current family. -Info (170189): Fitter placement preparation operations beginning -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:00 -Info (170191): Fitter placement operations beginning -Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:01 -Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 11% of the available device resources - Info (170196): Router estimated peak interconnect usage is 12% of the available device resources in the region that extends from location X9_Y0 to location X17_Y11 -Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. - Info (170201): Optimizations that may affect the design's routability were skipped -Info (170194): Fitter routing operations ending: elapsed time is 00:00:01 -Info (11888): Total time spent on timing analysis during the Fitter is 0.46 seconds. -Info (11218): Fitter post-fit operations ending: elapsed time is 00:00:00 -Warning (169174): The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'. -Info (144001): Generated suppressed messages file C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.fit.smsg -Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings - Info: Peak virtual memory: 5080 megabytes - Info: Processing ended: Sun Dec 26 13:11:39 2021 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 - - -+----------------------------+ -; Fitter Suppressed Messages ; -+----------------------------+ -The suppressed messages can be found in C:/Users/guoyr/Desktop/qwert/output_files/valveboard_firmware.fit.smsg. - - diff --git a/firmware/output_files/valveboard_firmware.fit.smsg b/firmware/output_files/valveboard_firmware.fit.smsg deleted file mode 100644 index 6df10d8..0000000 --- a/firmware/output_files/valveboard_firmware.fit.smsg +++ /dev/null @@ -1,4 +0,0 @@ -Extra Info (176273): Performing register packing on registers with non-logic cell location assignments -Extra Info (176274): Completed register packing on registers with non-logic cell location assignments -Extra Info (176244): Moving registers into LUTs to improve timing and density -Extra Info (176245): Finished moving registers into LUTs: elapsed time is 00:00:00 diff --git a/firmware/output_files/valveboard_firmware.fit.summary b/firmware/output_files/valveboard_firmware.fit.summary deleted file mode 100644 index 763b757..0000000 --- a/firmware/output_files/valveboard_firmware.fit.summary +++ /dev/null @@ -1,11 +0,0 @@ -Fitter Status : Successful - Sun Dec 26 13:11:39 2021 -Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Revision Name : valveboard_firmware -Top-level Entity Name : valveboard_firmware -Family : MAX II -Device : EPM1270T144C5 -Timing Models : Final -Total logic elements : 460 / 1,270 ( 36 % ) -Total pins : 101 / 116 ( 87 % ) -Total virtual pins : 0 -UFM blocks : 0 / 1 ( 0 % ) diff --git a/firmware/output_files/valveboard_firmware.flow.rpt b/firmware/output_files/valveboard_firmware.flow.rpt deleted file mode 100644 index 4741ebb..0000000 --- a/firmware/output_files/valveboard_firmware.flow.rpt +++ /dev/null @@ -1,116 +0,0 @@ -Flow report for valveboard_firmware -Sun Dec 26 13:11:44 2021 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Flow Summary - 3. Flow Settings - 4. Flow Non-Default Global Settings - 5. Flow Elapsed Time - 6. Flow OS Summary - 7. Flow Log - 8. Flow Messages - 9. Flow Suppressed Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------------+ -; Flow Summary ; -+-----------------------+---------------------------------------------+ -; Flow Status ; Successful - Sun Dec 26 13:11:41 2021 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; valveboard_firmware ; -; Top-level Entity Name ; valveboard_firmware ; -; Family ; MAX II ; -; Device ; EPM1270T144C5 ; -; Timing Models ; Final ; -; Total logic elements ; 460 / 1,270 ( 36 % ) ; -; Total pins ; 101 / 116 ( 87 % ) ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------+---------------------------------------------+ - - -+-----------------------------------------+ -; Flow Settings ; -+-------------------+---------------------+ -; Option ; Setting ; -+-------------------+---------------------+ -; Start date & time ; 12/26/2021 13:11:19 ; -; Main task ; Compilation ; -; Revision Name ; valveboard_firmware ; -+-------------------+---------------------+ - - -+----------------------------------------------------------------------------------------------------------------+ -; Flow Non-Default Global Settings ; -+---------------------------------------+-----------------------------+---------------+-------------+------------+ -; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; -+---------------------------------------+-----------------------------+---------------+-------------+------------+ -; COMPILER_SIGNATURE_ID ; 91767680144.164049547909464 ; -- ; -- ; -- ; -; MAX_CORE_JUNCTION_TEMP ; 85 ; -- ; -- ; -- ; -; MIN_CORE_JUNCTION_TEMP ; 0 ; -- ; -- ; -- ; -; POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR ; 3.3V ; -- ; -- ; -- ; -; PROJECT_OUTPUT_DIRECTORY ; output_files ; -- ; -- ; -- ; -+---------------------------------------+-----------------------------+---------------+-------------+------------+ - - -+--------------------------------------------------------------------------------------------------------------------------+ -; Flow Elapsed Time ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:14 ; 1.0 ; 4700 MB ; 00:00:32 ; -; Fitter ; 00:00:05 ; 1.0 ; 5080 MB ; 00:00:05 ; -; Assembler ; 00:00:01 ; 1.0 ; 4658 MB ; 00:00:01 ; -; Timing Analyzer ; 00:00:02 ; 1.0 ; 4662 MB ; 00:00:02 ; -; Total ; 00:00:22 ; -- ; -- ; 00:00:40 ; -+----------------------+--------------+-------------------------+---------------------+------------------------------------+ - - -+------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+------------------+------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+------------------+------------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-2056RVF ; Windows 10 ; 10.0 ; x86_64 ; -; Fitter ; DESKTOP-2056RVF ; Windows 10 ; 10.0 ; x86_64 ; -; Assembler ; DESKTOP-2056RVF ; Windows 10 ; 10.0 ; x86_64 ; -; Timing Analyzer ; DESKTOP-2056RVF ; Windows 10 ; 10.0 ; x86_64 ; -+----------------------+------------------+------------+------------+----------------+ - - ------------- -; Flow Log ; ------------- -quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware -quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware -quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware -quartus_sta valveboard_firmware -c valveboard_firmware - - - diff --git a/firmware/output_files/valveboard_firmware.jdi b/firmware/output_files/valveboard_firmware.jdi deleted file mode 100644 index eaf9bcd..0000000 --- a/firmware/output_files/valveboard_firmware.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/firmware/output_files/valveboard_firmware.map.rpt b/firmware/output_files/valveboard_firmware.map.rpt deleted file mode 100644 index 0c61c23..0000000 --- a/firmware/output_files/valveboard_firmware.map.rpt +++ /dev/null @@ -1,381 +0,0 @@ -Analysis & Synthesis report for valveboard_firmware -Sun Dec 26 13:11:33 2021 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Analysis & Synthesis Summary - 3. Analysis & Synthesis Settings - 4. Parallel Compilation - 5. Analysis & Synthesis Source Files Read - 6. Analysis & Synthesis Resource Usage Summary - 7. Analysis & Synthesis Resource Utilization by Entity - 8. General Register Statistics - 9. Inverted Register Statistics - 10. Multiplexer Restructuring Statistics (Restructuring Performed) - 11. Parameter Settings for User Entity Instance: Top-level Entity: |valveboard_firmware - 12. Analysis & Synthesis Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+---------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+-----------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Dec 26 13:11:33 2021 ; -; Quartus Prime Version ; 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Revision Name ; valveboard_firmware ; -; Top-level Entity Name ; valveboard_firmware ; -; Family ; MAX II ; -; Total logic elements ; 468 ; -; Total pins ; 101 ; -; Total virtual pins ; 0 ; -; UFM blocks ; 0 / 1 ( 0 % ) ; -+-----------------------------+---------------------------------------------+ - - -+--------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Settings ; -+------------------------------------------------------------------+---------------------+---------------------+ -; Option ; Setting ; Default Value ; -+------------------------------------------------------------------+---------------------+---------------------+ -; Device ; EPM1270T144C5 ; ; -; Top-level entity name ; valveboard_firmware ; valveboard_firmware ; -; Family name ; MAX II ; Cyclone V ; -; Use smart compilation ; Off ; Off ; -; Enable parallel Assembler and Timing Analyzer during compilation ; On ; On ; -; Enable compact report table ; Off ; Off ; -; Restructure Multiplexers ; Auto ; Auto ; -; Create Debugging Nodes for IP Cores ; Off ; Off ; -; Preserve fewer node names ; On ; On ; -; Intel FPGA IP Evaluation Mode ; Enable ; Enable ; -; Verilog Version ; Verilog_2001 ; Verilog_2001 ; -; VHDL Version ; VHDL_1993 ; VHDL_1993 ; -; State Machine Processing ; Auto ; Auto ; -; Safe State Machine ; Off ; Off ; -; Extract Verilog State Machines ; On ; On ; -; Extract VHDL State Machines ; On ; On ; -; Ignore Verilog initial constructs ; Off ; Off ; -; Iteration limit for constant Verilog loops ; 5000 ; 5000 ; -; Iteration limit for non-constant Verilog loops ; 250 ; 250 ; -; Add Pass-Through Logic to Inferred RAMs ; On ; On ; -; Infer RAMs from Raw Logic ; On ; On ; -; Parallel Synthesis ; On ; On ; -; NOT Gate Push-Back ; On ; On ; -; Power-Up Don't Care ; On ; On ; -; Remove Redundant Logic Cells ; Off ; Off ; -; Remove Duplicate Registers ; On ; On ; -; Ignore CARRY Buffers ; Off ; Off ; -; Ignore CASCADE Buffers ; Off ; Off ; -; Ignore GLOBAL Buffers ; Off ; Off ; -; Ignore ROW GLOBAL Buffers ; Off ; Off ; -; Ignore LCELL Buffers ; Off ; Off ; -; Ignore SOFT Buffers ; On ; On ; -; Limit AHDL Integers to 32 Bits ; Off ; Off ; -; Optimization Technique ; Balanced ; Balanced ; -; Carry Chain Length ; 70 ; 70 ; -; Auto Carry Chains ; On ; On ; -; Auto Open-Drain Pins ; On ; On ; -; Perform WYSIWYG Primitive Resynthesis ; Off ; Off ; -; Auto Shift Register Replacement ; Auto ; Auto ; -; Allow Shift Register Merging across Hierarchies ; Auto ; Auto ; -; Auto Clock Enable Replacement ; On ; On ; -; Allow Synchronous Control Signals ; On ; On ; -; Force Use of Synchronous Clear Signals ; Off ; Off ; -; Auto Resource Sharing ; Off ; Off ; -; Use LogicLock Constraints during Resource Balancing ; On ; On ; -; Ignore translate_off and synthesis_off directives ; Off ; Off ; -; Report Parameter Settings ; On ; On ; -; Report Source Assignments ; On ; On ; -; Report Connectivity Checks ; On ; On ; -; Ignore Maximum Fan-Out Assignments ; Off ; Off ; -; Synchronization Register Chain Length ; 2 ; 2 ; -; Power Optimization During Synthesis ; Normal compilation ; Normal compilation ; -; HDL message level ; Level2 ; Level2 ; -; Suppress Register Optimization Related Messages ; Off ; Off ; -; Number of Removed Registers Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Swept Nodes Reported in Synthesis Report ; 5000 ; 5000 ; -; Number of Inverted Registers Reported in Synthesis Report ; 100 ; 100 ; -; Clock MUX Protection ; On ; On ; -; Block Design Naming ; Auto ; Auto ; -; Synthesis Effort ; Auto ; Auto ; -; Shift Register Replacement - Allow Asynchronous Clear Signal ; On ; On ; -; Analysis & Synthesis Message Level ; Medium ; Medium ; -; Disable Register Merging Across Hierarchies ; Auto ; Auto ; -+------------------------------------------------------------------+---------------------+---------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -+----------------------------+-------------+ - - -+--------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ -; valveboard_firmware.v ; yes ; User Verilog HDL File ; C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v ; ; -+----------------------------------+-----------------+------------------------+----------------------------------------------------+---------+ - - -+-------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+---------+ -; Resource ; Usage ; -+---------------------------------------------+---------+ -; Total logic elements ; 468 ; -; -- Combinational with no register ; 155 ; -; -- Register only ; 18 ; -; -- Combinational with a register ; 295 ; -; ; ; -; Logic element usage by number of LUT inputs ; ; -; -- 4 input functions ; 229 ; -; -- 3 input functions ; 111 ; -; -- 2 input functions ; 105 ; -; -- 1 input functions ; 5 ; -; -- 0 input functions ; 0 ; -; ; ; -; Logic elements by mode ; ; -; -- normal mode ; 375 ; -; -- arithmetic mode ; 93 ; -; -- qfbk mode ; 0 ; -; -- register cascade mode ; 0 ; -; -- synchronous clear/load mode ; 65 ; -; -- asynchronous clear/load mode ; 311 ; -; ; ; -; Total registers ; 313 ; -; Total logic cells in carry chains ; 96 ; -; I/O pins ; 101 ; -; Maximum fan-out node ; sys_clk ; -; Maximum fan-out ; 313 ; -; Total fan-out ; 2413 ; -; Average fan-out ; 4.24 ; -+---------------------------------------------+---------+ - - -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+----------------------+---------------------+--------------+ -; Compilation Hierarchy Node ; Logic Cells ; LC Registers ; UFM Blocks ; Pins ; Virtual Pins ; LUT-Only LCs ; Register-Only LCs ; LUT/Register LCs ; Carry Chain LCs ; Packed LCs ; Full Hierarchy Name ; Entity Name ; Library Name ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+----------------------+---------------------+--------------+ -; |valveboard_firmware ; 468 (468) ; 313 ; 0 ; 101 ; 0 ; 155 (155) ; 18 (18) ; 295 (295) ; 96 (96) ; 0 (0) ; |valveboard_firmware ; valveboard_firmware ; work ; -+----------------------------+-------------+--------------+------------+------+--------------+--------------+-------------------+------------------+-----------------+------------+----------------------+---------------------+--------------+ -Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. - - -+------------------------------------------------------+ -; General Register Statistics ; -+----------------------------------------------+-------+ -; Statistic ; Value ; -+----------------------------------------------+-------+ -; Total registers ; 313 ; -; Number of registers using Synchronous Clear ; 65 ; -; Number of registers using Synchronous Load ; 0 ; -; Number of registers using Asynchronous Clear ; 311 ; -; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 146 ; -; Number of registers using Preset ; 0 ; -+----------------------------------------------+-------+ - - -+-----------------------------------------------------+ -; Inverted Register Statistics ; -+-------------------------------------------+---------+ -; Inverted Register ; Fan out ; -+-------------------------------------------+---------+ -; signal_high_voltage[0]~reg0 ; 1 ; -; signal_high_voltage[1]~reg0 ; 1 ; -; signal_high_voltage[2]~reg0 ; 1 ; -; signal_high_voltage[3]~reg0 ; 1 ; -; signal_high_voltage[4]~reg0 ; 1 ; -; signal_high_voltage[5]~reg0 ; 1 ; -; signal_high_voltage[6]~reg0 ; 1 ; -; signal_high_voltage[7]~reg0 ; 1 ; -; signal_high_voltage[8]~reg0 ; 1 ; -; signal_high_voltage[9]~reg0 ; 1 ; -; signal_high_voltage[10]~reg0 ; 1 ; -; signal_high_voltage[11]~reg0 ; 1 ; -; signal_high_voltage[12]~reg0 ; 1 ; -; signal_high_voltage[13]~reg0 ; 1 ; -; signal_high_voltage[14]~reg0 ; 1 ; -; signal_high_voltage[15]~reg0 ; 1 ; -; signal_high_voltage[16]~reg0 ; 1 ; -; signal_high_voltage[17]~reg0 ; 1 ; -; signal_high_voltage[18]~reg0 ; 1 ; -; signal_high_voltage[19]~reg0 ; 1 ; -; signal_high_voltage[20]~reg0 ; 1 ; -; signal_high_voltage[21]~reg0 ; 1 ; -; signal_high_voltage[22]~reg0 ; 1 ; -; signal_high_voltage[23]~reg0 ; 1 ; -; signal_high_voltage[24]~reg0 ; 1 ; -; signal_high_voltage[25]~reg0 ; 1 ; -; signal_high_voltage[26]~reg0 ; 1 ; -; signal_high_voltage[27]~reg0 ; 1 ; -; signal_high_voltage[28]~reg0 ; 1 ; -; signal_high_voltage[29]~reg0 ; 1 ; -; signal_high_voltage[30]~reg0 ; 1 ; -; signal_high_voltage[31]~reg0 ; 1 ; -; signal_high_voltage[32]~reg0 ; 1 ; -; signal_high_voltage[33]~reg0 ; 1 ; -; signal_high_voltage[34]~reg0 ; 1 ; -; signal_high_voltage[35]~reg0 ; 1 ; -; signal_high_voltage[36]~reg0 ; 1 ; -; signal_high_voltage[37]~reg0 ; 1 ; -; signal_high_voltage[38]~reg0 ; 1 ; -; signal_high_voltage[39]~reg0 ; 1 ; -; signal_high_voltage[40]~reg0 ; 1 ; -; signal_high_voltage[41]~reg0 ; 1 ; -; signal_high_voltage[42]~reg0 ; 1 ; -; signal_high_voltage[43]~reg0 ; 1 ; -; signal_high_voltage[44]~reg0 ; 1 ; -; signal_high_voltage[45]~reg0 ; 1 ; -; signal_high_voltage[46]~reg0 ; 1 ; -; signal_high_voltage[47]~reg0 ; 1 ; -; signal_low_voltage[0]~reg0 ; 1 ; -; signal_low_voltage[1]~reg0 ; 1 ; -; signal_low_voltage[2]~reg0 ; 1 ; -; signal_low_voltage[3]~reg0 ; 1 ; -; signal_low_voltage[4]~reg0 ; 1 ; -; signal_low_voltage[5]~reg0 ; 1 ; -; signal_low_voltage[6]~reg0 ; 1 ; -; signal_low_voltage[7]~reg0 ; 1 ; -; signal_low_voltage[8]~reg0 ; 1 ; -; signal_low_voltage[9]~reg0 ; 1 ; -; signal_low_voltage[10]~reg0 ; 1 ; -; signal_low_voltage[11]~reg0 ; 1 ; -; signal_low_voltage[12]~reg0 ; 1 ; -; signal_low_voltage[13]~reg0 ; 1 ; -; signal_low_voltage[14]~reg0 ; 1 ; -; signal_low_voltage[15]~reg0 ; 1 ; -; signal_low_voltage[16]~reg0 ; 1 ; -; signal_low_voltage[17]~reg0 ; 1 ; -; signal_low_voltage[18]~reg0 ; 1 ; -; signal_low_voltage[19]~reg0 ; 1 ; -; signal_low_voltage[20]~reg0 ; 1 ; -; signal_low_voltage[21]~reg0 ; 1 ; -; signal_low_voltage[22]~reg0 ; 1 ; -; signal_low_voltage[23]~reg0 ; 1 ; -; signal_low_voltage[24]~reg0 ; 1 ; -; signal_low_voltage[25]~reg0 ; 1 ; -; signal_low_voltage[26]~reg0 ; 1 ; -; signal_low_voltage[27]~reg0 ; 1 ; -; signal_low_voltage[28]~reg0 ; 1 ; -; signal_low_voltage[29]~reg0 ; 1 ; -; signal_low_voltage[30]~reg0 ; 1 ; -; signal_low_voltage[31]~reg0 ; 1 ; -; signal_low_voltage[32]~reg0 ; 1 ; -; signal_low_voltage[33]~reg0 ; 1 ; -; signal_low_voltage[34]~reg0 ; 1 ; -; signal_low_voltage[35]~reg0 ; 1 ; -; signal_low_voltage[36]~reg0 ; 1 ; -; signal_low_voltage[37]~reg0 ; 1 ; -; signal_low_voltage[38]~reg0 ; 1 ; -; signal_low_voltage[39]~reg0 ; 1 ; -; signal_low_voltage[40]~reg0 ; 1 ; -; signal_low_voltage[41]~reg0 ; 1 ; -; signal_low_voltage[42]~reg0 ; 1 ; -; signal_low_voltage[43]~reg0 ; 1 ; -; signal_low_voltage[44]~reg0 ; 1 ; -; signal_low_voltage[45]~reg0 ; 1 ; -; signal_low_voltage[46]~reg0 ; 1 ; -; signal_low_voltage[47]~reg0 ; 1 ; -; cache2_line_sdata[0] ; 2 ; -; cache2_line_sdata[1] ; 2 ; -; cache2_line_sdata[2] ; 2 ; -; cache2_line_sdata[3] ; 2 ; -; Total number of inverted registers = 197* ; ; -+-------------------------------------------+---------+ -* Table truncated at 100 items. To change the number of inverted registers reported, set the "Number of Inverted Registers Reported" option under Assignments->Settings->Analysis and Synthesis Settings->More Settings - - -+------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Multiplexer Restructuring Statistics (Restructuring Performed) ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------------------------+ -; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------------------------+ -; 3:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |valveboard_firmware|fault_counter[26] ; -; 4:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |valveboard_firmware|i[26] ; -; 4:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; Yes ; |valveboard_firmware|cnt_for_high_voltage_time[18] ; -; 3:1 ; 48 bits ; 96 LEs ; 48 LEs ; 48 LEs ; Yes ; |valveboard_firmware|signal_high_voltage[3]~reg0 ; -; 3:1 ; 48 bits ; 96 LEs ; 48 LEs ; 48 LEs ; Yes ; |valveboard_firmware|cache2_line_sdata[45] ; -+--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------------------------+ - - -+--------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: Top-level Entity: |valveboard_firmware ; -+---------------------------------+----------------------------------+-----------------+ -; Parameter Name ; Value ; Type ; -+---------------------------------+----------------------------------+-----------------+ -; CHANNEL_NUM ; 48 ; Signed Integer ; -; CHANNEL_NUM_MINUS_1 ; 47 ; Signed Integer ; -; HIGH_VOLTAGE_TIME ; 00000000000000000001110011101000 ; Unsigned Binary ; -; HIGH_VOLTAGE_TIME_MINUS_1 ; 00000000000000000001110011100111 ; Unsigned Binary ; -; FAULT_COUNTER_THRESHOLD ; 00000001001100010010110100000000 ; Unsigned Binary ; -; FAULT_COUNTER_THRESHOLD_MINUS_1 ; 00000001001100010010110011111111 ; Unsigned Binary ; -; FAULT_COUNTER_THRESHOLD_PLUS_1 ; 00000001001100010010110100000001 ; Unsigned Binary ; -+---------------------------------+----------------------------------+-----------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - -+-------------------------------+ -; Analysis & Synthesis Messages ; -+-------------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Analysis & Synthesis - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Sun Dec 26 13:11:19 2021 -Info: Command: quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (12021): Found 1 design units, including 1 entities, in source file valveboard_firmware.v - Info (12023): Found entity 1: valveboard_firmware File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 6 -Info (12021): Found 1 design units, including 1 entities, in source file tb_valveboard_firmware.v - Info (12023): Found entity 1: tb_valveboard_firmware File: C:/Users/guoyr/Desktop/qwert/tb_valveboard_firmware.v Line: 2 -Info (12127): Elaborating entity "valveboard_firmware" for the top level hierarchy -Warning (10230): Verilog HDL assignment warning at valveboard_firmware.v(88): truncated value with size 32 to match size of target (5) File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 88 -Info (18000): Registers with preset signals will power-up high File: C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v Line: 288 -Info (21057): Implemented 569 device resources after synthesis - the final resource count might be different - Info (21058): Implemented 5 input pins - Info (21059): Implemented 96 output pins - Info (21061): Implemented 468 logic cells -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings - Info: Peak virtual memory: 4700 megabytes - Info: Processing ended: Sun Dec 26 13:11:33 2021 - Info: Elapsed time: 00:00:14 - Info: Total CPU time (on all processors): 00:00:32 - - diff --git a/firmware/output_files/valveboard_firmware.map.summary b/firmware/output_files/valveboard_firmware.map.summary deleted file mode 100644 index 707f9cd..0000000 --- a/firmware/output_files/valveboard_firmware.map.summary +++ /dev/null @@ -1,9 +0,0 @@ -Analysis & Synthesis Status : Successful - Sun Dec 26 13:11:33 2021 -Quartus Prime Version : 20.1.1 Build 720 11/11/2020 SJ Lite Edition -Revision Name : valveboard_firmware -Top-level Entity Name : valveboard_firmware -Family : MAX II -Total logic elements : 468 -Total pins : 101 -Total virtual pins : 0 -UFM blocks : 0 / 1 ( 0 % ) diff --git a/firmware/output_files/valveboard_firmware.pin b/firmware/output_files/valveboard_firmware.pin deleted file mode 100644 index ac76d9e..0000000 --- a/firmware/output_files/valveboard_firmware.pin +++ /dev/null @@ -1,211 +0,0 @@ - -- Copyright (C) 2020 Intel Corporation. All rights reserved. - -- Your use of Intel Corporation's design tools, logic functions - -- and other software and tools, and any partner logic - -- functions, and any output files from any of the foregoing - -- (including device programming or simulation files), and any - -- associated documentation or information are expressly subject - -- to the terms and conditions of the Intel Program License - -- Subscription Agreement, the Intel Quartus Prime License Agreement, - -- the Intel FPGA IP License Agreement, or other applicable license - -- agreement, including, without limitation, that your use is for - -- the sole purpose of programming logic devices manufactured by - -- Intel and sold by Intel or its authorized distributors. Please - -- refer to the applicable agreement for further details, at - -- https://fpgasoftware.intel.com/eula. - -- - -- This is a Quartus Prime output file. It is for reporting purposes only, and is - -- not intended for use as a Quartus Prime input file. This file cannot be used - -- to make Quartus Prime pin assignments - for instructions on how to make pin - -- assignments, please see Quartus Prime help. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- NC : No Connect. This pin has no internal connection to the device. - -- DNU : Do Not Use. This pin MUST NOT be connected. - -- VCCINT : Dedicated power pin, which MUST be connected to VCC (2.5V/3.3V). - -- VCCIO : Dedicated power pin, which MUST be connected to VCC - -- of its bank. - -- Bank 1: 3.3V - -- Bank 2: 3.3V - -- Bank 3: 3.3V - -- Bank 4: 3.3V - -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. - -- It can also be used to report unused dedicated pins. The connection - -- on the board for unused dedicated pins depends on whether this will - -- be used in a future design. One example is device migration. When - -- using device migration, refer to the device pin-tables. If it is a - -- GND pin in the pin table or if it will not be used in a future design - -- for another purpose the it MUST be connected to GND. If it is an unused - -- dedicated pin, then it can be connected to a valid signal on the board - -- (low, high, or toggling) if that signal is required for a different - -- revision of the design. - -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. - -- This pin should be connected to GND. It may also be connected to a - -- valid signal on the board (low, high, or toggling) if that signal - -- is required for a different revision of the design. - -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND - -- or leave it unconnected. - -- RESERVED : Unused I/O pin, which MUST be left unconnected. - -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. - -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. - -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. - -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. - --------------------------------------------------------------------------------- - - - - --------------------------------------------------------------------------------- - -- Pin directions (input, output or bidir) are based on device operating in user mode. - --------------------------------------------------------------------------------- - -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition -CHIP "valveboard_firmware" ASSIGNED TO AN: EPM1270T144C5 - -Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment -------------------------------------------------------------------------------------------------------------- -signal_high_voltage[40] : 1 : output : 3.3-V LVTTL : : 1 : Y -signal_high_voltage[41] : 2 : output : 3.3-V LVTTL : : 1 : Y -signal_high_voltage[42] : 3 : output : 3.3-V LVTTL : : 1 : Y -signal_high_voltage[43] : 4 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[40] : 5 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[41] : 6 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[42] : 7 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[43] : 8 : output : 3.3-V LVTTL : : 1 : Y -VCCIO1 : 9 : power : : 3.3V : 1 : -GNDIO : 10 : gnd : : : : -GND* : 11 : : : : 1 : -signal_high_voltage[44] : 12 : output : 3.3-V LVTTL : : 1 : Y -signal_high_voltage[45] : 13 : output : 3.3-V LVTTL : : 1 : Y -signal_high_voltage[46] : 14 : output : 3.3-V LVTTL : : 1 : Y -signal_high_voltage[47] : 15 : output : 3.3-V LVTTL : : 1 : Y -GND* : 16 : : : : 1 : -GNDINT : 17 : gnd : : : : -sys_clk : 18 : input : 3.3-V LVTTL : : 1 : Y -VCCINT : 19 : power : : 2.5V/3.3V : : -GND* : 20 : : : : 1 : -signal_low_voltage[44] : 21 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[45] : 22 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[46] : 23 : output : 3.3-V LVTTL : : 1 : Y -signal_low_voltage[47] : 24 : output : 3.3-V LVTTL : : 1 : Y -VCCIO1 : 25 : power : : 3.3V : 1 : -GNDIO : 26 : gnd : : : : -GND* : 27 : : : : 1 : -GND* : 28 : : : : 1 : -GND* : 29 : : : : 1 : -GND* : 30 : : : : 1 : -GND* : 31 : : : : 1 : -GND* : 32 : : : : 1 : -TMS : 33 : input : : : 1 : -TDI : 34 : input : : : 1 : -TCK : 35 : input : : : 1 : -TDO : 36 : output : : : 1 : -rst_n : 37 : input : 3.3-V LVTTL : : 4 : Y -GND* : 38 : : : : 4 : -line_sdata : 39 : input : 3.3-V LVTTL : : 4 : Y -line_sen : 40 : input : 3.3-V LVTTL : : 4 : Y -line_sclk : 41 : input : 3.3-V LVTTL : : 4 : Y -GND* : 42 : : : : 4 : -signal_high_voltage[0] : 43 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[1] : 44 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[2] : 45 : output : 3.3-V LVTTL : : 4 : Y -VCCIO4 : 46 : power : : 3.3V : 4 : -GNDIO : 47 : gnd : : : : -signal_high_voltage[3] : 48 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[0] : 49 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[1] : 50 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[2] : 51 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[3] : 52 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[4] : 53 : output : 3.3-V LVTTL : : 4 : Y -GNDINT : 54 : gnd : : : : -signal_high_voltage[5] : 55 : output : 3.3-V LVTTL : : 4 : Y -VCCINT : 56 : power : : 2.5V/3.3V : : -signal_high_voltage[6] : 57 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[7] : 58 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[4] : 59 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[5] : 60 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[6] : 61 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[7] : 62 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[8] : 63 : output : 3.3-V LVTTL : : 4 : Y -VCCIO4 : 64 : power : : 3.3V : 4 : -GNDIO : 65 : gnd : : : : -signal_high_voltage[9] : 66 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[10] : 67 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[11] : 68 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[8] : 69 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[9] : 70 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[10] : 71 : output : 3.3-V LVTTL : : 4 : Y -signal_low_voltage[11] : 72 : output : 3.3-V LVTTL : : 4 : Y -signal_high_voltage[12] : 73 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[13] : 74 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[14] : 75 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[15] : 76 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[12] : 77 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[13] : 78 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[14] : 79 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[15] : 80 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[16] : 81 : output : 3.3-V LVTTL : : 3 : Y -VCCIO3 : 82 : power : : 3.3V : 3 : -GNDIO : 83 : gnd : : : : -signal_high_voltage[17] : 84 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[18] : 85 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[19] : 86 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[16] : 87 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[17] : 88 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[18] : 89 : output : 3.3-V LVTTL : : 3 : Y -VCCINT : 90 : power : : 2.5V/3.3V : : -signal_low_voltage[19] : 91 : output : 3.3-V LVTTL : : 3 : Y -GNDINT : 92 : gnd : : : : -signal_high_voltage[20] : 93 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[21] : 94 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[22] : 95 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[23] : 96 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[20] : 97 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[21] : 98 : output : 3.3-V LVTTL : : 3 : Y -GNDIO : 99 : gnd : : : : -VCCIO3 : 100 : power : : 3.3V : 3 : -signal_low_voltage[22] : 101 : output : 3.3-V LVTTL : : 3 : Y -signal_low_voltage[23] : 102 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[24] : 103 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[25] : 104 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[26] : 105 : output : 3.3-V LVTTL : : 3 : Y -signal_high_voltage[27] : 106 : output : 3.3-V LVTTL : : 3 : Y -GND* : 107 : : : : 3 : -GND* : 108 : : : : 3 : -signal_low_voltage[24] : 109 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[25] : 110 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[26] : 111 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[27] : 112 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[28] : 113 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[29] : 114 : output : 3.3-V LVTTL : : 2 : Y -GNDIO : 115 : gnd : : : : -VCCIO2 : 116 : power : : 3.3V : 2 : -signal_high_voltage[30] : 117 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[31] : 118 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[28] : 119 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[29] : 120 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[30] : 121 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[31] : 122 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[32] : 123 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[33] : 124 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[34] : 125 : output : 3.3-V LVTTL : : 2 : Y -VCCINT : 126 : power : : 2.5V/3.3V : : -signal_high_voltage[35] : 127 : output : 3.3-V LVTTL : : 2 : Y -GNDINT : 128 : gnd : : : : -signal_low_voltage[32] : 129 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[33] : 130 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[34] : 131 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[35] : 132 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[36] : 133 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[37] : 134 : output : 3.3-V LVTTL : : 2 : Y -GNDIO : 135 : gnd : : : : -VCCIO2 : 136 : power : : 3.3V : 2 : -signal_high_voltage[38] : 137 : output : 3.3-V LVTTL : : 2 : Y -signal_high_voltage[39] : 138 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[36] : 139 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[37] : 140 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[38] : 141 : output : 3.3-V LVTTL : : 2 : Y -signal_low_voltage[39] : 142 : output : 3.3-V LVTTL : : 2 : Y -GND* : 143 : : : : 2 : -GND* : 144 : : : : 2 : diff --git a/firmware/output_files/valveboard_firmware.pof b/firmware/output_files/valveboard_firmware.pof deleted file mode 100644 index dbb222846a0cb4b0992c6bce17ebf4a7c3966be8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 27318 zcmeHweRNz$mFJWEp}=Hfn{!CWIhZKskjyemOk(EvKpe}v*qO;LnXo(K(d=Q3fQeDS*5h{nP8;_r4GQfw#bW z6Y@50yX%g-KE3&NZxKM8mU)+U+Z+CR?*iCl$R*v;e`@lcwZm(NPfhOLH#~XdRB;V* ziUxVeS*zFd58HBNGKUTvUzy*L)SMP6I*=ukH(OHFpVdL$h#hp`s1`OyXCvin%1_B` zWaSeHqL4C9qSnY1l9&ov2h*Ht^+ljLN7{0ksxPmh1;p3!Q^edRAk|nt$_#PMqe{7e zlty?dk!@vhRWvC4PX>&JRCD>jumf0r0Atu5qBBkKft3%u(Gpr1ui0Lw*Tnx!-dbT~bv{8Gxf{OeadJgu~;1heA>Az_CL~KYCdusSQi{<*yO&?r$a-Q;! zx$4bHzAVkt9>|{EzW==b+%ymS2jH9e+Or>Z7SI7!>scGHkYfoa&vsaYXu<7O09IPO zz&G?+ptN|-fqrX(VJ>{0f_zREhUr9yEh-bN)hK8nxhSu!sJJ;8DPj~mpRjVdB zr+d7QbBde04{zc7FAWb3u@MN>`G4>GxiNqLGufV0>eij9kaO~RpL3#}sfSPV{dLED z-^ri5e;l4GZvDscRoeMR%&q>8-+8E^zfi>fhghx(%g21?kPY!DFF07@e`%$Q`PhTX zAT^WktZiDZlJYzYK}zTcZto{7m|DRMDs7cxt64<<bZ<(R|Ecby8+y&ImyTBKbBvM z2lJfxtwz>+QGBiZe}4Qw-QL&{bMZG<#<#Ved;1)lk9@3`=Cl5!|I62(Pr^6z?Qip1 ze?s`Y)}LtGd9Od`eLgVv^{2G{ndkab&3FAtlDqV*{%>cYxP3%}a%MuhSo^{Mf^oCt z$Bgy-++Lh!;a#@VC*pGJA6H=UIkra#))?~#TDWIUvfF;$WV*W_L!P-lr*8Od=yv8V_*|J^xI{A1<%Y#&GAIauPVQ& ze5W9h=U*IO)<2j1`viiTL_1os(H!>g++=b6SpIzC=N#6b0{urN^7(3&;hNOHjusQ> ze4N*$yq;g2lzNP01-~4;oSN`U*u!vJE&*a)oSNWKZgd1cCgmqDynpXFc~$ZGBK(-F zKc9wdY2^!iL!T8Stvuw2lUHpSzW;vUp@Z<5xbS`i`00+tUvT#D{(XXlA|>|K)d%=- z`SQ!vP37kRsfnK-@SeTt{U2bwHK}fY zDswY0KmU`e`1w#r>z8%0^qL_7Yaq;WNz05JnR|Qp@JLns)E`*?toh*&fOm;~!6~N2 zt#39R*Hpz%z1DlytbX52Q~ZBAAs>(9C-=JvG4z{aKEJlv`R7EwHhyZI&%dyK{db$3 ze`YtV|I3>A>64N;Cgo>W)}(s>_`Y9`pKK4WSB#N-Dw%>i>f1}A{tQd%k8*d;8rL_{ zL4ejH8ezx|JeOhRq30CPnbAgyXQ-?Y?K-7~z`;>k5oQv2nTW(zh{*YvXM}J{=oS{+p6HBR*(I{gbV@@F7%j)=v z^+AAo9x%FJabXfa#}x9BHVFZ1lK3e;p;xcE;caPByQZR((W)Ig5IQR|1%GFtSQIOtGq&s=e2(?bIE1*wfyY&0}fI?^5fL-Dm@F{+B6XSu$5aL=Bq_}RUIpEsR- z;duA>f7`qN(MQYibK5chh{elnwsHJ~GiFGZB%ML*i@~Ug^D4EH#W+z7;mcS8gx9A`_xX zuszbbJUpum>8{>g$s3y7wS z0FkCapYsCyN&)APmP%och~p;)F0=}kYO=W~G7tJD2qP(=g|=IK{KfV-|Dq6Li~?d{ zC2|ePJcU!NlnZQaTzxG~Mlqi;iocIQAImO(s%c~kO%KEWh6Yh3O*_aJ;0B0!6h5`` zC5i-cf!8~5%?CcL-i0?+%6Q~5!89iwy%@X%GD8wX4`zl+e_&f&AWHBopA#=(N2F01 zrUmD)JYFCAmX;XLNJ>DSJV_aNB@Oz_nF++t5j3;YkMUT@z-^So&$GjYQPfY+M>7*q z0Szo5UvC8~%j*RGA93Ym<>RV(P}k5T55}}aQBC|*V;cP#CTf)tI|M>&J{Ji#uSy>3 zFB5ulwLayDDb;a=q#_Mc)#9a0)}Q^YD+|9a{f1j@*WKX`b81T-@ss)~kyjT#1r@b~ z&>8AC!5wEQ`ehH|XGic44&Q-Faxdc*jH|C6(JDpgU=v2}>(^JwFh?}>pR43=MEuf%bI(~L}Erris`i~tQrnmd; z%e=d7XG65g^o2dUN_#XnrUhIB;seA$9UK@!uEGn z#ZTpVg%9>V=kN zH&0de?^;&J&t1E2O|72XmjC%s_s~m6_8&TQ20KlkTZPrmX|zTTltKa_yoZzO9%3x9uSeO zk3@k?kEXjK%p6_L9*X4~N#BBPq-LNG9tj~|LuA27KL|Q_%wRM_O`Y6Xm zMh$YN%uu0|1i$F#%DJwVI0G7@#e|M9fKOA#JP!sP?(icDI}SMfc0e_N0;X7hL!u^Z zNHBy=5J(Dh;SmK4mjgQjpZI{2m?%4#Ymv_zKc5kTvVqpGqkW~rb9_6Q0l?X>Xp&3; z>kZ^U-YB9H8)-y{F39pZejek(DG}SFGFm<5Z#rWJr)D6RIG#k5%~j;v_&I>qU|BE* z|BFaaG`JG>K(O{nO*#EQ*mCR)zQK5S9ABvddT1cWC^{2~2>n+}g+i9c3G$?h&N_Ud zqpPsxuA9HQOR394W6X|0(*5CW4*-l*D}-MO5op7cuRXr%gtxsviQyG*4=%D zw_>^X+NRz;kk5^Q&t8t7-V)&H%IR}gy@S`E9CC>`%4f{cKzx5-yQqKQ+kbhPEBuyLbPg8;d)4+&_Laet*fz z=k+JnHyKpPz>(Z1&?Q{^pp#>KL@D@&=NBsZ)%qQ-FGxjnzlVQ_1C zc;mx79PO-l9`C^@nG}XnSBQZV}65pGt2)9QS$0t3)Cb*<&6s?NE=SaamLFoWTV=di&GLv@x zLILDM^!9CzUs?IKrX~joaz^MUp-@!I_eW7hYlG#FR1wIJX4p=R^*nF<{~mbMkyD`r z1Ge%M%PE{H^hoMbG?wS>O^}y-dI_sOG8VWM_h#}XR#`D#=g=efVKGdmG~#0#JL4ZO zb!2B^dm!{!DU{qcPQFHW#ts{nBVG~R$omaCI6{icg+k1EE4R;RSg{}T4FX&=rJ&JR$78X5 zqCk zUavR(Y|CSdyz?JihdupNTdQZE$94S80lAd%0^E@@gRifeY5kWmzNNLWU`_9vdiA=+f4Rhq=(+YqA9|&&W!=r|4lh}{jJsSe`pwYv zk>m0EpW~+{IwmKN3`a)}pL(=E>^pa8Yv!oP9L{F<1$oS>kRS2$_m>XE=byVa>{uP1 zJ^RAmZTXj8dVJNRJ)5un#`yTrzP`(j;C)e~bfEk+I=Ax=_+cX&6vtyoh9pSW{^$d2 zkESt&USg~=0JId8W2lsf8k*7SvXDkTECZhJuv#OR@Vr{^y-{g}i-v82 z4L7_}10LTtIlLgPEGZCAuosi(H$tAooLrXY;MKHc$vDF$MvI^h$R`?PsFN?_^Fbj2 z=01(_z(c}dyanpJQdP@iwi;RyR(d|4Zfx3dD-T+*mgNh{HA))=pCHgcALj&Pyb9}} zegsQw8c1SsEN}~ZIQG5@2^wseuQ&^%%fNn3w`t82BMPynM-dl}0_DS>AdTFnr9`Gt zgAt8r$_=I|6cP*2yq&pF#N{SDXcU4R4pdH19?g@=O3Z`n#`Pf|Y?^Ou{38i;MyfOg3IQV|sYr2ul;Fqm zTb1Wa^3ysMp5!*bLm4&?C)trWcqV&hY9N1_B`E05auE6{x`jvmmldgLY5>p91_8 z_h5+{yUwU!Z^BvI-bKm-W>Xp@}Up2#c{)_q(JyfCxg}0>rnx&=QJ+HK^ zD}3?NOFVCP>*d~_1=HVaXs` zd%cfOe|u5;yS!huwYPfhFRt`jJ7N691bvR)B)u4qc-!0iCyc-L{%fZnKe+}%9=smw zV&FZtpWmM^4b9xN@6mI6`|inipUfVJjvp`P$H)5)ox5^xv~}umYJ2|C>^{6dkLE{5 z%jbXUAGv8?-{W}Sev3Q*Jo>^=cmAcm{Ws!$`z?Rl4c>D6oIq?5>~H%&_+cX^P0*6) zIO}(QEfMdvfaoUiF7&}P8mVMl^z8Xi&dXO17Vj!=(-=>4St0|ur1 ze+8ev34@480ncue=#TG)URA(|Jd&3fOBNp61De57VgHL8RLbCFkHRB(K7hrevchdB zN#h<#8a8Bx^+~u4V-|Zcq%j|IRgMPH9xRD`{zBq-h`I_iZ6H7ylYbJv&GIyGCQyin zTtoCvTWg1B0l@2iF3Z?h9cf;iMI5gR>^aKu7b<2VC^!v#Bu8LR-b~U#(mf)_UK)@# zp0fPSh!vdp-pa>SAsp|D>~k_qEll`;^)7~8(M4n`UkaQhdngDlFJUFOsXW%GDy zigP2zZ%pJ%78^G+>J;x^Fg|F2as1)5(Ou}HN=MJ2>#^ zS^oVX^Kdz*shw&dDCL-zSqXXkLB!0VZwF>F92*<6DiVAKJefc`{)3eND1=J^c_J7? z5%{IGDZ%f1wwU&({?Ov#+hbqP%R}f89r|;xn@6znG1|A#<;;wfA&H(C%Qt8b>Qsg@ z;k(kRfBS(^!YaDPp8WIXt0}yA1R-L>yC%N*(Vqn45-p1J`7PKm>^VH}1V788i}tKsxybv?=h{}Zv>v^}dyVbj_LBH4_I}agop_x64LtVa zy=@Ce@3?N@t;=q|_NjLRf0_3hx^MaY&udncHmv_m3wP^|Wi5Ke;;&r0LcjH|Z&-B6 z_wH}=+S?DWY`1u}iPJUhr9}%*EOPiOXS{2^+}5fe`s#NU{n3g0uVMU>h3C1#mERvt z_WAel`RA(1<3q)v?y0`)xvzZX+~)55mfOb6<4)5Oobn!EV^UqW`g#CN>_};$# zPrm!~<}F`1db^9C$GH(F@?*Z1S=)AO7uzTBfh-p1;wf^Nh{ix0>fwBjXV}-{d=$q~ zxUpcyb0NyOKXHod>*GjN(Eus-F9vWe9(gtV)iki2@`Lsw^`|C-C~)fyl4bd2{$#uv zW$`@zIR!?+s1aVzz!Jn5Oc~UapY7*zq}YDF&7!Fv@rGX*QFZ0@_(rsX8+pDUg%9{8 zE{Xg`c#E?7q0k6~4}=kXPu8}Rx1b4LpP&f- z6WCwa{)P6lCfDK1_S!HiD5C`sX#Kc;lIIIE$o&Ts8B)R@hh6rPO%^Xv&RU@>KZ%#g zaEFyaC?_zdp$~CN_+$CJth6b{9_frGjrw$un8T)$&s*p<{a_rt%20vz>cbD&mJiOX@L|9&5l~FHdo= zPjgu3V9R?`MIGpY_3(K= z#e6u+Uc0^!$Ok|E@7h7Bail7g;dc z_u+`+pS1h}-(Bx`dcm5XT>8S%TF7`^>s~-^TpCS7U#G z=Yil~(%OKZ<+=BDzh-}LJKlZrM!f&vW4;^jd*s}e%Zd}@hqq6S_hG&}I<#uluUGBu z+hTu#dvxfpFarwk*Zlqg=hv(8dtIDgcdy^@cTartSDWwr>+$aV-o7{E{3Vxv$ zl>J3+KJR{!%l;7fIR7UO7EIerY~JA~+}hDp?|)hLhwJ~KfyP)>;K!}XZk5*( zR_lK!n#Gp+t-dN6Aq!NAd{K~i%0eaOp!NLa`44DLT<&Aw{LtlV78)qM1QEpQe zf0-`g4t1VH1cUwdWCPJx3I;U7bABSPAf^0BNaGnjgK8K0b2LfE0vV14-I|Y;`2e8}+WeVuOYpo=FA=T?k=D*k;TQ0Nt)c>-xry<{; zpc}KZ^*elLv0fh22%yP>o%t@PURhac6cwFg+EppE}gn0mwlc7w5k6q`!)E-R*^g80&h3=U+2%0-LIYKNuIaDAlF|bYY(K36rV<>#0OhQ zd;ru-*xvL*R9<7@sEp7_5u$cBxf&l0LD2{qu-+}!2Yil^Pl1UoOePb z&sSLhSZ%V#QfGPmRJZSfUhMC@TYgLref5S#OJ5`JiMVoA{0V-d!QY$sfj>R8>lFUp z#Ih?tb25K)3;$l_zhJhTJ^yj6ubKbc-=Dzz_lfTH>;LYXPn_P_{e^Aa>J3(bGk z{^xeL^}`qHYdu##{{heayUrh2=;6Qdz?{nP(FC@cIV_)rXx4w~`73nE?c{hpYBf23 zSIQGQng0@hV!oh2q33fYvha_65wWTN>*hbUo{!gza>qx4t&rT46MePqPu24uILr14 z;K%rMiq8X?2Xq5}mGKhxtAt-No}U7Rl5`OA0V8a(&0u9Q4)ScOvnMXs+pIn<{2Wkc zFtc4mKbI>O$nqpUrAWYwNASKmxREe_^CgylG5xk&0^WtEP9hwh6Q0Fa$#*NJoWRRL z$gi}og~1+9@Q)*7x{6=8f{AT>h}cZOXwL71i`*!M-#OTfA1&+*nXRAI0J6Cfx8)hReLcAsnVX#B0ti@k^F7=F>1Dc=~@4MlatRs+MIur z)!MjRW{KVtq{U2w`QKS58_s_$(g@l{BcBn4QF;*Fr;&fHW0XJpmQY5rrR5r1@xY4Ps9 z(mU6N>9dLbojPsBHY&&b_qq0Iyf4+3yx+;tO8Yv-WdE1^-st7Z-&=a*9A0HQ**&sl z3!b0zd1s^fFWLY7vi|QcZQHnEe_!93&AT4nmLF<9|K(tYzz6=U^M96bh>_DYJc%V- z6aP1=kE<8rrH+0d?`)T-lXs9-IErT1Kf${zmuK-w?8yz_XCdgyv&Xl?C-Yym{FvYF z<%%VeXYBr~BA@SKeBJz4Z6BsKe;3Ic`k5?+4`)+gZvq$+$Z zEtuq;u1nAt>H~7nuh90cs@&4NSLB581~1jfhlt#mPy7s;%h|e0c>{mq)ts_^wm*oT z#?%3+0(?pR%lcRtQoTJjv?4VTpBpyN2X1UFW5J313O{c<#ld2{A)j4t)i>2&*0&-}CXSL%m~`?L#Nf9tqr`uE3*%KDsHP9K`wA1aAe$ZO26%(-ej?yKy>fo){Z z&lytBpXf{U*O!CdL^3Gh*ISf@(4@RlKbESZjeJ2$%B%DTk?=!4zu=CQ=&LGcw&^;$ z+Wt|J6tO;mPXqbLt*LJf?et;l7dXp@lKJujf2JsokKgppb2M}T{!LbE!}=+cP2p{P z61){`tp5V@AJNlrug3FzBhU{T^Si$vCSXINqB8%{71O=#uVDV@Nq(;Yn6~Zb`dWFVOWI2_|0Mcn)z8_B`NuWyMft1i#~RD@h4nYBuk-gs^*7~D^!xEmLeKJ#b5F(I z8v5b#`DcCm&rx13}&_f_D3+XR*P3&W5De_SB`cG~zQEmYtecq>I(VVnbg>(cuDAM9bd#jUYn zmd)YuT{XTeH9I~zd}q_~(X77Kn2LNv z4bDGpd=}0gTcyPR)_&w%*#EI}!9U0Pmi_fx;MvSFf6`u!_J53PAYXERo&U@8UjsbD z>+xke#$$?c$=Rc9IbM6i#D|g*e*cD(2=G3{DBsoM8_u8aTlprKN;bGbCJhm#f}%)ALIEvxxxDz<#MavsdjptKUS-9`^d6>duMWG+TO(e zOxgJm)c}8l=XS~`TFr7na}{u?pvrjGagq8|)*oP&4zF;r_A+lZKO7zwI4a-)BxLId zKMZ<`=(a>ZNcgP_!!Ujz($gw1?N`qkcU#qOD3xsG~R!KexMm? z*I&NXZy8HHt^(Mj^Uo~!-*ZTTKZ}3Q!RCN}+@z*RKU!3K@y7BLOYwEE6RYB=A5SS# zp#&S-V-xT;&70V?}(-ipkDr}As{O?uFbm%q6#QoZSqTTz3PaUBma!9;> z&)R diff --git a/firmware/output_files/valveboard_firmware.sta.rpt b/firmware/output_files/valveboard_firmware.sta.rpt deleted file mode 100644 index e5435c5..0000000 --- a/firmware/output_files/valveboard_firmware.sta.rpt +++ /dev/null @@ -1,691 +0,0 @@ -Timing Analyzer report for valveboard_firmware -Sun Dec 26 13:11:44 2021 -Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - - ---------------------- -; Table of Contents ; ---------------------- - 1. Legal Notice - 2. Timing Analyzer Summary - 3. Parallel Compilation - 4. Clocks - 5. Fmax Summary - 6. Setup Summary - 7. Hold Summary - 8. Recovery Summary - 9. Removal Summary - 10. Minimum Pulse Width Summary - 11. Setup: 'sys_clk' - 12. Hold: 'sys_clk' - 13. Setup Transfers - 14. Hold Transfers - 15. Report TCCS - 16. Report RSKM - 17. Unconstrained Paths Summary - 18. Clock Status Summary - 19. Unconstrained Input Ports - 20. Unconstrained Output Ports - 21. Unconstrained Input Ports - 22. Unconstrained Output Ports - 23. Timing Analyzer Messages - - - ----------------- -; Legal Notice ; ----------------- -Copyright (C) 2020 Intel Corporation. All rights reserved. -Your use of Intel Corporation's design tools, logic functions -and other software and tools, and any partner logic -functions, and any output files from any of the foregoing -(including device programming or simulation files), and any -associated documentation or information are expressly subject -to the terms and conditions of the Intel Program License -Subscription Agreement, the Intel Quartus Prime License Agreement, -the Intel FPGA IP License Agreement, or other applicable license -agreement, including, without limitation, that your use is for -the sole purpose of programming logic devices manufactured by -Intel and sold by Intel or its authorized distributors. Please -refer to the applicable agreement for further details, at -https://fpgasoftware.intel.com/eula. - - - -+-----------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+-----------------------------------------------------+ -; Quartus Prime Version ; Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; valveboard_firmware ; -; Device Family ; MAX II ; -; Device Name ; EPM1270T144C5 ; -; Timing Models ; Final ; -; Delay Model ; Slow Model ; -; Rise/Fall Delays ; Unavailable ; -+-----------------------+-----------------------------------------------------+ - - -+------------------------------------------+ -; Parallel Compilation ; -+----------------------------+-------------+ -; Processors ; Number ; -+----------------------------+-------------+ -; Number detected on machine ; 4 ; -; Maximum allowed ; 2 ; -; ; ; -; Average used ; 1.00 ; -; Maximum used ; 1 ; -; ; ; -; Usage by Processor ; % Time Used ; -; Processor 1 ; 100.0% ; -+----------------------------+-------------+ - - -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Clocks ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-------------+ -; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-------------+ -; sys_clk ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { sys_clk } ; -+------------+------+--------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+-------------+ - - -+-------------------------------------------------+ -; Fmax Summary ; -+-----------+-----------------+------------+------+ -; Fmax ; Restricted Fmax ; Clock Name ; Note ; -+-----------+-----------------+------------+------+ -; 82.75 MHz ; 82.75 MHz ; sys_clk ; ; -+-----------+-----------------+------------+------+ -This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. - - -+-----------------------------------+ -; Setup Summary ; -+---------+---------+---------------+ -; Clock ; Slack ; End Point TNS ; -+---------+---------+---------------+ -; sys_clk ; -11.085 ; -2239.564 ; -+---------+---------+---------------+ - - -+---------------------------------+ -; Hold Summary ; -+---------+-------+---------------+ -; Clock ; Slack ; End Point TNS ; -+---------+-------+---------------+ -; sys_clk ; 1.386 ; 0.000 ; -+---------+-------+---------------+ - - --------------------- -; Recovery Summary ; --------------------- -No paths to report. - - -------------------- -; Removal Summary ; -------------------- -No paths to report. - - -+----------------------------------+ -; Minimum Pulse Width Summary ; -+---------+--------+---------------+ -; Clock ; Slack ; End Point TNS ; -+---------+--------+---------------+ -; sys_clk ; -2.289 ; -2.289 ; -+---------+--------+---------------+ - - -+-------------------------------------------------------------------------------------------------------------------+ -; Setup: 'sys_clk' ; -+---------+-----------+-----------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+---------+-----------+-----------------------+--------------+-------------+--------------+------------+------------+ -; -11.085 ; i[29] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.752 ; -; -11.085 ; i[29] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.752 ; -; -11.085 ; i[29] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.752 ; -; -11.040 ; i[29] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.707 ; -; -11.040 ; i[29] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.707 ; -; -10.937 ; i[28] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.604 ; -; -10.937 ; i[28] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.604 ; -; -10.937 ; i[28] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.604 ; -; -10.902 ; i[29] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.569 ; -; -10.902 ; i[29] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.569 ; -; -10.902 ; i[29] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.569 ; -; -10.895 ; i[11] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.562 ; -; -10.895 ; i[11] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.562 ; -; -10.895 ; i[11] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.562 ; -; -10.892 ; i[28] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.559 ; -; -10.892 ; i[28] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.559 ; -; -10.862 ; i[25] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.529 ; -; -10.862 ; i[25] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.529 ; -; -10.862 ; i[25] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.529 ; -; -10.850 ; i[11] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.517 ; -; -10.850 ; i[11] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.517 ; -; -10.817 ; i[25] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.484 ; -; -10.817 ; i[25] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.484 ; -; -10.754 ; i[28] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.421 ; -; -10.754 ; i[28] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.421 ; -; -10.754 ; i[28] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.421 ; -; -10.753 ; i[3] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.420 ; -; -10.753 ; i[3] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.420 ; -; -10.753 ; i[3] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.420 ; -; -10.750 ; i[26] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.417 ; -; -10.750 ; i[26] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.417 ; -; -10.750 ; i[26] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.417 ; -; -10.712 ; i[11] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.379 ; -; -10.712 ; i[11] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.379 ; -; -10.712 ; i[11] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.379 ; -; -10.708 ; i[3] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.375 ; -; -10.708 ; i[3] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.375 ; -; -10.705 ; i[26] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.372 ; -; -10.705 ; i[26] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.372 ; -; -10.679 ; i[25] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.346 ; -; -10.679 ; i[25] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.346 ; -; -10.679 ; i[25] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.346 ; -; -10.670 ; i[15] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.337 ; -; -10.670 ; i[15] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.337 ; -; -10.670 ; i[15] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.337 ; -; -10.663 ; i[29] ; cache_line_sdata[11] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.330 ; -; -10.662 ; i[12] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.329 ; -; -10.662 ; i[12] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.329 ; -; -10.662 ; i[12] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.329 ; -; -10.634 ; i[24] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.301 ; -; -10.634 ; i[24] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.301 ; -; -10.634 ; i[24] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.301 ; -; -10.625 ; i[15] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.292 ; -; -10.625 ; i[15] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.292 ; -; -10.617 ; i[12] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.284 ; -; -10.617 ; i[12] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.284 ; -; -10.609 ; i[2] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.276 ; -; -10.609 ; i[2] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.276 ; -; -10.609 ; i[2] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.276 ; -; -10.604 ; i[1] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.271 ; -; -10.604 ; i[1] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.271 ; -; -10.604 ; i[1] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.271 ; -; -10.599 ; i[13] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.266 ; -; -10.599 ; i[13] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.266 ; -; -10.599 ; i[13] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.266 ; -; -10.589 ; i[24] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.256 ; -; -10.589 ; i[24] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.256 ; -; -10.580 ; i[20] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.247 ; -; -10.580 ; i[20] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.247 ; -; -10.580 ; i[20] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.247 ; -; -10.570 ; i[3] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.237 ; -; -10.570 ; i[3] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.237 ; -; -10.570 ; i[3] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.237 ; -; -10.567 ; i[26] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.234 ; -; -10.567 ; i[26] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.234 ; -; -10.567 ; i[26] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.234 ; -; -10.564 ; i[2] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.231 ; -; -10.564 ; i[2] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.231 ; -; -10.559 ; i[1] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.226 ; -; -10.559 ; i[1] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.226 ; -; -10.554 ; i[13] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.221 ; -; -10.554 ; i[13] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.221 ; -; -10.554 ; i[14] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.221 ; -; -10.554 ; i[14] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.221 ; -; -10.554 ; i[14] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.221 ; -; -10.535 ; i[20] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.202 ; -; -10.535 ; i[20] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.202 ; -; -10.516 ; i[22] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.183 ; -; -10.516 ; i[22] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.183 ; -; -10.516 ; i[22] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.183 ; -; -10.515 ; i[28] ; cache_line_sdata[11] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.182 ; -; -10.509 ; i[14] ; cache2_line_sdata[19] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.176 ; -; -10.509 ; i[14] ; cache2_line_sdata[25] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.176 ; -; -10.504 ; i[17] ; cache2_line_sdata[17] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.171 ; -; -10.504 ; i[17] ; cache2_line_sdata[20] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.171 ; -; -10.504 ; i[17] ; cache2_line_sdata[21] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.171 ; -; -10.487 ; i[15] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.154 ; -; -10.487 ; i[15] ; cache2_line_sdata[14] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.154 ; -; -10.487 ; i[15] ; cache2_line_sdata[15] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.154 ; -; -10.479 ; i[12] ; cache2_line_sdata[13] ; sys_clk ; sys_clk ; 1.000 ; 0.000 ; 11.146 ; -+---------+-----------+-----------------------+--------------+-------------+--------------+------------+------------+ - - -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Hold: 'sys_clk' ; -+-------+-----------------------------------------+-----------------------------------------+--------------+-------------+--------------+------------+------------+ -; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; -+-------+-----------------------------------------+-----------------------------------------+--------------+-------------+--------------+------------+------------+ -; 1.386 ; tmp_cache_line_sdata[1] ; tmp_cache_line_sdata[2] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.607 ; -; 1.387 ; tmp_cache_line_sdata[3] ; tmp_cache_line_sdata[4] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.608 ; -; 1.396 ; cache_line_sclk[1] ; cache_line_sclk[2] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.617 ; -; 1.412 ; cache_line_sen[3] ; cache_line_sen[4] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.633 ; -; 1.424 ; cache_line_sen[1] ; cache_line_sen[2] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.645 ; -; 1.642 ; tmp_cache_line_sdata[2] ; tmp_cache_line_sdata[3] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.863 ; -; 1.653 ; tmp_cache_line_sdata[4] ; fiter_line_sdata ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.874 ; -; 1.655 ; cache_line_sclk[0] ; cache_line_sclk[1] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.876 ; -; 1.656 ; tmp_cache_line_sdata[0] ; tmp_cache_line_sdata[1] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.877 ; -; 1.659 ; cache2_line_sdata[21] ; signal_low_voltage[21]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.880 ; -; 1.662 ; cache2_line_sdata[13] ; signal_high_voltage[13]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.883 ; -; 1.662 ; cache2_line_sdata[14] ; signal_low_voltage[14]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.883 ; -; 1.662 ; cache_line_sen[0] ; cache_line_sen[1] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.883 ; -; 1.662 ; cache_line_sclk[2] ; cache_line_sclk[3] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.883 ; -; 1.664 ; cache_line_sdata[35] ; cache2_line_sdata[35] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.885 ; -; 1.666 ; cache2_line_sdata[7] ; signal_high_voltage[7]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.887 ; -; 1.667 ; cache2_line_sdata[14] ; signal_high_voltage[14]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.888 ; -; 1.671 ; cache2_line_sdata[17] ; signal_low_voltage[17]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.892 ; -; 1.678 ; cache2_line_sdata[16] ; signal_low_voltage[16]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.899 ; -; 1.679 ; cache2_line_sdata[27] ; signal_high_voltage[27]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.900 ; -; 1.679 ; cache2_line_sdata[19] ; signal_low_voltage[19]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.900 ; -; 1.681 ; cache2_line_sdata[22] ; signal_low_voltage[22]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.902 ; -; 1.682 ; cache2_line_sdata[27] ; signal_low_voltage[27]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.903 ; -; 1.685 ; cache2_line_sdata[22] ; signal_high_voltage[22]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.906 ; -; 1.685 ; cache2_line_sdata[25] ; signal_low_voltage[25]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.906 ; -; 1.686 ; cache2_line_sdata[16] ; signal_high_voltage[16]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.907 ; -; 1.686 ; cache2_line_sdata[38] ; signal_low_voltage[38]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.907 ; -; 1.687 ; cache2_line_sdata[38] ; signal_high_voltage[38]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.908 ; -; 1.748 ; cache_line_sclk[3] ; cache_line_sclk[4] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 1.969 ; -; 1.867 ; cache_enable_count_high_voltage_time[0] ; cache_enable_count_high_voltage_time[1] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.088 ; -; 1.875 ; cache_line_sen[4] ; negedge_line_sen ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.096 ; -; 1.898 ; cache_line_sdata[14] ; cache_line_sdata[14] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.119 ; -; 1.898 ; cache_line_sdata[26] ; cache_line_sdata[26] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.119 ; -; 1.898 ; cache_line_sdata[42] ; cache_line_sdata[42] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.119 ; -; 1.899 ; cache_line_sdata[9] ; cache_line_sdata[9] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.120 ; -; 1.907 ; cache_line_sdata[20] ; cache_line_sdata[20] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.128 ; -; 1.907 ; cache_line_sdata[21] ; cache_line_sdata[21] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.128 ; -; 1.908 ; cache_line_sdata[4] ; cache_line_sdata[4] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.129 ; -; 1.908 ; cache_line_sdata[12] ; cache_line_sdata[12] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.129 ; -; 1.908 ; cache_line_sdata[19] ; cache_line_sdata[19] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.129 ; -; 1.908 ; cache_line_sdata[28] ; cache_line_sdata[28] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.129 ; -; 1.909 ; cache_line_sdata[22] ; cache_line_sdata[22] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.130 ; -; 1.916 ; cache_line_sdata[45] ; cache_line_sdata[45] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.137 ; -; 1.918 ; cache_line_sdata[1] ; cache_line_sdata[1] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.139 ; -; 1.918 ; cache_line_sdata[17] ; cache_line_sdata[17] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.139 ; -; 1.919 ; cache_line_sdata[0] ; cache_line_sdata[0] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.140 ; -; 1.920 ; cache2_line_sdata[31] ; signal_high_voltage[31]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.141 ; -; 1.922 ; cache_line_sdata[0] ; cache2_line_sdata[0] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.143 ; -; 1.923 ; cache2_line_sdata[20] ; signal_high_voltage[20]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.144 ; -; 1.924 ; cache_line_sdata[1] ; cache2_line_sdata[1] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.145 ; -; 1.925 ; cache2_line_sdata[20] ; signal_low_voltage[20]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.146 ; -; 1.925 ; cache2_line_sdata[31] ; signal_low_voltage[31]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.146 ; -; 1.929 ; cache_line_sdata[32] ; cache_line_sdata[32] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.150 ; -; 1.930 ; cache_line_sdata[32] ; cache2_line_sdata[32] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.151 ; -; 1.934 ; cache_line_sdata[31] ; cache_line_sdata[31] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.155 ; -; 1.935 ; cache2_line_sdata[26] ; signal_high_voltage[26]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.156 ; -; 1.939 ; cache2_line_sdata[29] ; signal_high_voltage[29]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.160 ; -; 1.940 ; cache2_line_sdata[26] ; signal_low_voltage[26]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.161 ; -; 1.940 ; cache2_line_sdata[29] ; signal_low_voltage[29]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.161 ; -; 1.941 ; cache2_line_sdata[28] ; signal_low_voltage[28]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.162 ; -; 1.947 ; cache2_line_sdata[30] ; signal_low_voltage[30]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.168 ; -; 1.955 ; cache2_line_sdata[46] ; signal_high_voltage[46]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.176 ; -; 1.958 ; cache2_line_sdata[42] ; signal_high_voltage[42]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.179 ; -; 2.013 ; cache2_line_sdata[8] ; signal_low_voltage[8]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.234 ; -; 2.017 ; cache2_line_sdata[8] ; signal_high_voltage[8]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.238 ; -; 2.107 ; cache_line_sdata[8] ; cache_line_sdata[8] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.328 ; -; 2.107 ; cache_line_sdata[25] ; cache_line_sdata[25] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.328 ; -; 2.107 ; cache_line_sdata[30] ; cache_line_sdata[30] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.328 ; -; 2.111 ; filter_line_sen ; filter_line_sen ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.332 ; -; 2.116 ; i[6] ; i[6] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.337 ; -; 2.116 ; i[16] ; i[16] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.337 ; -; 2.116 ; fault_counter[16] ; fault_counter[16] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.337 ; -; 2.116 ; fault_counter[6] ; fault_counter[6] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.337 ; -; 2.117 ; i[13] ; i[13] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; i[23] ; i[23] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; fault_counter[13] ; fault_counter[13] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; fault_counter[23] ; fault_counter[23] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; cache_line_sdata[2] ; cache_line_sdata[2] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; cache_line_sdata[23] ; cache_line_sdata[23] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; cache_line_sdata[34] ; cache_line_sdata[34] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; cache_line_sdata[36] ; cache_line_sdata[36] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.117 ; cache_line_sdata[44] ; cache_line_sdata[44] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.338 ; -; 2.123 ; cache2_line_sdata[6] ; signal_low_voltage[6]~reg0 ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.344 ; -; 2.126 ; i[5] ; i[5] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; i[7] ; i[7] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; i[8] ; i[8] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; i[15] ; i[15] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; i[17] ; i[17] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; i[18] ; i[18] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; i[25] ; i[25] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[15] ; fault_counter[15] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[8] ; fault_counter[8] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[7] ; fault_counter[7] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[17] ; fault_counter[17] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[18] ; fault_counter[18] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[25] ; fault_counter[25] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.126 ; fault_counter[26] ; fault_counter[26] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.347 ; -; 2.127 ; cache_line_sdata[7] ; cache_line_sdata[7] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.348 ; -; 2.127 ; cache_line_sdata[24] ; cache_line_sdata[24] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.348 ; -; 2.127 ; cache_line_sdata[33] ; cache_line_sdata[33] ; sys_clk ; sys_clk ; 0.000 ; 0.000 ; 2.348 ; -+-------+-----------------------------------------+-----------------------------------------+--------------+-------------+--------------+------------+------------+ - - -+-------------------------------------------------------------------+ -; Setup Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; sys_clk ; sys_clk ; 10137 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - -+-------------------------------------------------------------------+ -; Hold Transfers ; -+------------+----------+----------+----------+----------+----------+ -; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; -+------------+----------+----------+----------+----------+----------+ -; sys_clk ; sys_clk ; 10137 ; 0 ; 0 ; 0 ; -+------------+----------+----------+----------+----------+----------+ -Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. - - ---------------- -; Report TCCS ; ---------------- -No dedicated SERDES Transmitter circuitry present in device or used in design - - ---------------- -; Report RSKM ; ---------------- -No non-DPA dedicated SERDES Receiver circuitry present in device or used in design - - -+------------------------------------------------+ -; Unconstrained Paths Summary ; -+---------------------------------+-------+------+ -; Property ; Setup ; Hold ; -+---------------------------------+-------+------+ -; Illegal Clocks ; 0 ; 0 ; -; Unconstrained Clocks ; 0 ; 0 ; -; Unconstrained Input Ports ; 4 ; 4 ; -; Unconstrained Input Port Paths ; 353 ; 353 ; -; Unconstrained Output Ports ; 96 ; 96 ; -; Unconstrained Output Port Paths ; 96 ; 96 ; -+---------------------------------+-------+------+ - - -+----------------------------------------+ -; Clock Status Summary ; -+---------+---------+------+-------------+ -; Target ; Clock ; Type ; Status ; -+---------+---------+------+-------------+ -; sys_clk ; sys_clk ; Base ; Constrained ; -+---------+---------+------+-------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; line_sclk ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; line_sdata ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; line_sen ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; rst_n ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------------------+---------------------------------------------------------------------------------------+ -; signal_high_voltage[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[16] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[17] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[18] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[19] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[20] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[21] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[22] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[23] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[24] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[25] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[26] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[27] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[28] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[29] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[30] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[31] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[32] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[33] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[34] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[35] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[36] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[37] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[38] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[39] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[40] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[41] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[42] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[43] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[44] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[45] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[46] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[47] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[16] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[17] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[18] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[19] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[20] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[21] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[22] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[23] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[24] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[25] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[26] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[27] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[28] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[29] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[30] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[31] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[32] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[33] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[34] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[35] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[36] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[37] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[38] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[39] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[40] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[41] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[42] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[43] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[44] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[45] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[46] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[47] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------------------+---------------------------------------------------------------------------------------+ - - -+---------------------------------------------------------------------------------------------------+ -; Unconstrained Input Ports ; -+------------+--------------------------------------------------------------------------------------+ -; Input Port ; Comment ; -+------------+--------------------------------------------------------------------------------------+ -; line_sclk ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; line_sdata ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; line_sen ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -; rst_n ; No input delay, min/max delays, false-path exceptions, or max skew assignments found ; -+------------+--------------------------------------------------------------------------------------+ - - -+-----------------------------------------------------------------------------------------------------------------+ -; Unconstrained Output Ports ; -+-------------------------+---------------------------------------------------------------------------------------+ -; Output Port ; Comment ; -+-------------------------+---------------------------------------------------------------------------------------+ -; signal_high_voltage[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[16] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[17] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[18] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[19] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[20] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[21] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[22] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[23] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[24] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[25] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[26] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[27] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[28] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[29] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[30] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[31] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[32] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[33] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[34] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[35] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[36] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[37] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[38] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[39] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[40] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[41] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[42] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[43] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[44] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[45] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[46] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_high_voltage[47] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[0] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[1] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[2] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[3] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[4] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[5] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[6] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[7] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[8] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[9] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[10] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[11] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[12] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[13] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[14] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[15] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[16] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[17] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[18] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[19] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[20] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[21] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[22] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[23] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[24] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[25] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[26] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[27] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[28] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[29] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[30] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[31] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[32] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[33] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[34] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[35] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[36] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[37] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[38] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[39] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[40] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[41] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[42] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[43] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[44] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[45] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[46] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -; signal_low_voltage[47] ; No output delay, min/max delays, false-path exceptions, or max skew assignments found ; -+-------------------------+---------------------------------------------------------------------------------------+ - - -+--------------------------+ -; Timing Analyzer Messages ; -+--------------------------+ -Info: ******************************************************************* -Info: Running Quartus Prime Timing Analyzer - Info: Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition - Info: Processing started: Sun Dec 26 13:11:42 2021 -Info: Command: quartus_sta valveboard_firmware -c valveboard_firmware -Info: qsta_default_script.tcl version: #1 -Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 2 of the 2 processors detected -Info (21077): Low junction temperature is 0 degrees C -Info (21077): High junction temperature is 85 degrees C -Info (334003): Started post-fitting delay annotation -Info (334004): Delay annotation completed successfully -Critical Warning (332012): Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. -Info (332142): No user constrained base clocks found in the design. Calling "derive_clocks -period 1.0" -Info (332105): Deriving Clocks - Info (332105): create_clock -period 1.000 -name sys_clk sys_clk -Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON -Info: Can't run Report Timing Closure Recommendations. The current device family is not supported. -Critical Warning (332148): Timing requirements not met -Info (332146): Worst-case setup slack is -11.085 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -11.085 -2239.564 sys_clk -Info (332146): Worst-case hold slack is 1.386 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): 1.386 0.000 sys_clk -Info (332140): No Recovery paths to report -Info (332140): No Removal paths to report -Info (332146): Worst-case minimum pulse width slack is -2.289 - Info (332119): Slack End Point TNS Clock - Info (332119): ========= =================== ===================== - Info (332119): -2.289 -2.289 sys_clk -Info (332001): The selected device family is not supported by the report_metastability command. -Info (332102): Design is not fully constrained for setup requirements -Info (332102): Design is not fully constrained for hold requirements -Info: Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings - Info: Peak virtual memory: 4662 megabytes - Info: Processing ended: Sun Dec 26 13:11:44 2021 - Info: Elapsed time: 00:00:02 - Info: Total CPU time (on all processors): 00:00:02 - - diff --git a/firmware/output_files/valveboard_firmware.sta.summary b/firmware/output_files/valveboard_firmware.sta.summary deleted file mode 100644 index 92d4852..0000000 --- a/firmware/output_files/valveboard_firmware.sta.summary +++ /dev/null @@ -1,17 +0,0 @@ ------------------------------------------------------------- -Timing Analyzer Summary ------------------------------------------------------------- - -Type : Setup 'sys_clk' -Slack : -11.085 -TNS : -2239.564 - -Type : Hold 'sys_clk' -Slack : 1.386 -TNS : 0.000 - -Type : Minimum Pulse Width 'sys_clk' -Slack : -2.289 -TNS : -2.289 - ------------------------------------------------------------- diff --git a/firmware/valveboard_firmware.qsf b/firmware/valveboard_firmware.qsf index 87f7fb6..e3f19ff 100644 --- a/firmware/valveboard_firmware.qsf +++ b/firmware/valveboard_firmware.qsf @@ -149,6 +149,107 @@ set_location_assignment PIN_51 -to signal_low_voltage[2] set_location_assignment PIN_50 -to signal_low_voltage[1] set_location_assignment PIN_49 -to signal_low_voltage[0] set_location_assignment PIN_18 -to sys_clk -set_location_assignment PIN_41 -to line_sclk -set_location_assignment PIN_39 -to line_sdata -set_location_assignment PIN_40 -to line_sen \ No newline at end of file +set_location_assignment PIN_40 -to line_sclk +set_location_assignment PIN_41 -to line_sdata +set_location_assignment PIN_39 -to line_sen +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to line_sclk +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to line_sdata +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to line_sen +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to rst_n +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[47] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[46] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[45] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[44] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[43] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[42] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[41] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[40] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[39] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[38] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[37] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[36] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_high_voltage[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[47] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[46] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[45] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[44] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[43] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[42] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[41] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[40] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[39] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[38] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[37] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[36] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sys_clk \ No newline at end of file diff --git a/firmware/valveboard_firmware.qsf.bak b/firmware/valveboard_firmware.qsf.bak deleted file mode 100644 index 4ff9bd6..0000000 --- a/firmware/valveboard_firmware.qsf.bak +++ /dev/null @@ -1,53 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2020 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and any partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel FPGA IP License Agreement, or other applicable license -# agreement, including, without limitation, that your use is for -# the sole purpose of programming logic devices manufactured by -# Intel and sold by Intel or its authorized distributors. Please -# refer to the applicable agreement for further details, at -# https://fpgasoftware.intel.com/eula. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 20.1.0 Build 711 06/05/2020 SJ Lite Edition -# Date created = 16:15:48 December 24, 2021 -# -# -------------------------------------------------------------------------- # -# -# Notes: -# -# 1) The default values for assignments are stored in the file: -# valveboard_firmware_assignment_defaults.qdf -# If this file doesn't exist, see file: -# assignment_defaults.qdf -# -# 2) Altera recommends that you do not modify this file. This -# file is updated automatically by the Quartus Prime software -# and any changes you make may be lost or overwritten. -# -# -------------------------------------------------------------------------- # - - -set_global_assignment -name FAMILY "MAX II" -set_global_assignment -name DEVICE EPM1270T144C5 -set_global_assignment -name TOP_LEVEL_ENTITY valveboard_firmware -set_global_assignment -name ORIGINAL_QUARTUS_VERSION 20.1.0 -set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:15:48 DECEMBER 24, 2021" -set_global_assignment -name LAST_QUARTUS_VERSION "20.1.1 Lite Edition" -set_global_assignment -name VERILOG_FILE valveboard_firmware.v -set_global_assignment -name VERILOG_FILE tb_valveboard_firmware.v -set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files -set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 -set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 -set_global_assignment -name DEVICE_FILTER_PIN_COUNT 144 -set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR "-1" -set_global_assignment -name POWER_EXT_SUPPLY_VOLTAGE_TO_REGULATOR 3.3V \ No newline at end of file diff --git a/firmware/valveboard_firmware.qws b/firmware/valveboard_firmware.qws index 963a6ffd8cb02a2be45aa276ed95696ea8d3d2d1..a5f2da2f2c877d8ab2b885b5da05c91c8c652e91 100644 GIT binary patch delta 64 zcmaFO@|tDBLMBFriHog-7#V<|h~fYL{|pR_sX)xI@qGfL3{xgh7$^h*K-qsF4B{~{ F003;36X^f| delta 64 zcmaFO@|tDBLMEo?6Bk

z-P5>ANaY#cVJbcqrk0nA%{1d#1PMyJwE$SE~yCJpTWpZfe45X*qW!4=|&vq2$s>2eaT zxb6tbBpd^C=7MEyGe91qcrQ}-sA(}eNlQ%-%U66v(J|C+XTKDxrm%2pwPoy&38oEN zb258|MWOPtcrggZ#Vnn;m_C!dO!h{Go2*zY0v$mz)|QRL4-AYvB2yFMU}mkP7AoVz zi8~-dJ^v@SRt5_)EVKcgA6+?eN_Lo|*~{#%)3dHK)-=~hApTbOk zcmu2W_l-(#+G#REaAo_)CbPX2E3Ir_cAFi7)uuydBYZ7a}X(`#?~>=Ce+{!AzSfU@#Z>or%C3}9YJjep_Hs(s=gK~zE#U791>UKDD|+JqBtMSZlQ`J{A|nHB&&fmI zA<-=Us+k+MU-!=KGxM9jn_glV3=v*jr=+<&O#-G^eAPUY zERnc$ z@ROE4dYIhiW5Q~HujEMj_Rax9r}NAu*^4QjH9E+&^zOysTKz~{C@Va)LfLW%C)voy z0|RF}aS@RuLqIYpTDvo$x(Pw7cWfB~m@cT%=W0ID2`0}F0$;h+)Ky&$rSPxaXy4F$ zi?ydvVG4J9Qn)%TM3P?HFOkfef*umSnz_9TIr#oz9{Y~_Tdz&1=fQt=kdRFc&$(nC zjpF6S62*G$$;9*QA${2;a$99->bfc`nI))kAP{ClqLEi5B(Gdx`q=NNiiZ? zq%Kq)w>O`cWNPo}r(w#!_&G5%RW25!m{gd0420c?uMhwtQ%gg=@8_*InhLAe=d)v7 zW1Q9HMDXYKtF6DvCpN;;VgSgJskjm;pzI%X-wx@oSpMQLDj657pMGT=B=~XitGEg+ zJ@*hh9#X3bT{yXV=-m6$K3S3Ul4#c$C}0gm+{e8nCs88UD>$#mGuZt`hNAZ0K`u&z z>+ub#?7hhEJ>+=rK`ATJi-$NO!P}LhW7~3k+ol^?^Sdz`5?S3hIPl)@?DmF=m@?9G zoZkdhy5{(^P1mfdJ3^lro^{;zh0CmM3^p!foynN?Y(9V)jCN=hE2ydIr2Lgh{dj0X z79jp7_FFhNo8?{V&UV*_}1}r}z9O=JIS!?D2YF*OaCS4QX@CppiGhOlL z7?>WO=r?kK2cM}04-Vw!)FxEsc75in0eA>%PEY;((KW86%0exon&|Z&){f1OXOByu z;34{Yc0g0rJ}a6Lrv&;!pM7?3xze&r%=>F$N~J1TCeV9Oy3l&g;&<#zik}bS{mlYT z4lRlA4~35NW~(2Lvgvg4w1EC}5V-zqdne#(CSh;!l=qw!pt?K`lOp+DzlqlQ%K89@^s9i+GgCME4l=&_gMJt3asZsi$?!pF1v?(?a%Gh43^-Ee$n)Vsd|Xd(%qm=ja$%8={rv zeYz-Z3gpVcBzaWHv1FsJJ)#)OjSwDar@FA*PhS=QI(+vvBoP{pjl<=#Lqu{Jich;d zuBkG&(NPc3gpNIx#sjq66;DNqd}f0Ib%ctv@j{Y68oi zoFPz}p^N{*Kq=^}C7__Z$kQZ_h)fN?+4fq3#}%!(%6u1hDyK_2*BqqmJ(f3Hp#Ak` z>{lw}cwKifxOEUnzp5q77o-p-y;gac++yxjvFh{Z`uTtTj#Ct2M zoe?sx2V094%!D314{j2jP7j6>n^@ox0Ul6uWAXLQV3~hK)^5_-CF(I~2F9FW0M{45Tl6xvDI)*~@^HXi83KQ(iOS|zzYKY)t zLS_&;RoEbxj;h^YZY{9KQ-b40gY)pFHx|~Ya^K#LY?tKb!ZJsEBF=w(6#*l zZ5p{O>Vi?DVM=|aVw70~YEJi|MqP>Tl$H{+X`4P5>mv?y-`bVu%Jik&Gch^c=@ClK zB-unZ*HLh_e4h4@E!dj+h8G9cvz}}IX~;Fn-3V_#NHnv5|KSo14&3}n+#>dSHQ>I3 z^>c=K@1ua`w5Ud_Z{;X)D)tUf4s@I;l~GggFmz_=W)6#_ujoGwS;4*y5m;B52>kty z8s2A?ygc0oik|6+iP9}VG-g^x=xUxzK#%>Pf_l&Kulwv{Bus`#5eGdUGxUFWU#R~+ zhrptTaT1V=&SIyx41?Pg_J3ltfE5%T#(d23xg>`Nd14@JAa>@rOb#FD<-?3`z z%Mml@#M7`UC;%HnK|z$e54PJFC`~jn2mxDrO&MnMv-?6MWTNr{xjp+H?n4zfpy|az zcOpd*%KEN3Fx~fJYwcb0f>@+OF*K$Ia*Gj)xsNJ8Z2L8G&qUf}ZZ#4ab$>^P+s&a@)Tz~D zV5q=~@XvB1iwc^e>F*k=b6RT=+Psfc#ou%b&*!Ux)pH3p4HC9X%&awB1j1d9b|PVMN_O8d_oH%cpLH})C`g8PSO6SbNOElHO|;C$W}pd_irj@O7ma_^fef+30>u7Z9X=$qWTVo99l$G z$NmqE^HWpxg4OcF2h%uqx<9=yZgGP8p{Do3W|IXF$A4)(h{Y(+wUsHsLU@O zg^^*Y@(v31`mehUg$s)d7b!ic%o_1JoY*V5&X}Y-aj|H4uQs~mFxmZAxEHl)+so%E zN=t49T1kO?cin~t)AsV;?%WE@?rJRbIlK8*Ek@J!04gkl;hRC5jFjY6FpdyieGuHS zH{t1xwY|MT`ww2oiT>Q*9DvY+;f(ONn25w8!};8Og|VxELF4(*+3A)$Uu)At`Oa|e za4^lhU<;CxGe`E4X0t2Gy?TX_nI30LcMiy*0L9pz9AOpvgpyq`0drL@(l~aN9+srJ zlE}V8E=5H}r-?LH?~@Oz06gn(7{;wjgnKgQUZZYB#3IUwb{Q+SF`P%0ZP{{M7E3wM1I3Y0k zfRtTX3Siwkc#G~~q`t_nxhD2<72yutnm>bF*Bvd9P2P2aIBTLlkxT^mFQ$OO8I|*!#9p?NNCO}2oJqsHZ)Y!Wc>`AFn}8Q!P$odjibH;ZJ7~8Xf=Kt{Y)lpG(-`|f&3MeHhDJk7ZgTxTh-8uA- z(jna;;Lso~-3+aC*U$`&ba&(L`hC}W|6pyfR=TSDs59F6onj?(9?GMWKG%qxdPVzb`s1O4b|=zgR; z;n|NGy(%_qs;H)#@okBhA#2|{*eLtHU0SK6n{E1h`Rpd;W6$#>!Yap9i)`UrMu}*q zu>LApqE>IgXNa(4O>W91$&&5f2upua%VV9tcNn^y1ASCR_dBuWDe+BA^Srhj^OqX4Z~nMh|9!^2fb1_#<+!H~%-2$Wxe0=#1lF<}HF~38S+RKz z-wMKOj^giN7kt>g9I`{4g6MYoyjEeTaRM zU_6O){gT>Zaq?8Rs~3PmFS}nmhRK8xFpC=PXDY?@%Wr2-Bhh4`SLs(Ce+3{>)|J>J zl@CT_N>m_eKQ-!nWb3;=3tL%93(9n0(GWFRUegMxHBUp)GX;p4Y1*dobSr$JmL>Q|#QAdzotu1QFd^vo~SZ@_08HX?XeEM5g}_!eOy zx?uhCGX3T0aQe%Wr9mV64lSLW#%`(?WRAJ!`iIw&NRksj-{-N#hl~oLNg(0gh^qB< zYKs{jyRtP9q2r0Ze(dP{afFE+i4qrSl=fonGf#(-ICt3Lsq@+mZcch{kLyrY+G}n{ zV@}gai;}a(3oYjI)%TMsl)@zYs%Pb*^Nl5fd{-n@Op%d%v?^a(%z|75BU6d-@i5=v z>j2}P7z_+!vPaPk^*X_t1Wwah`-1~yKl6dOf8@@-e%E?0Tp??w_;#v4a8hTQ+H%N;hamW){YHu=E=h$Huf`C= z_ab=Fu8Q%$iEGUR50FJE_~_R-QE7c0P9Gwa9a05fMDJkWspH{?wK(`?{cvnC`$tdF z{c;HF(P1;JIO{l-*dopbT07dnBw;4MAChZo-R@-Gg;RZmoG{QE^6)!T@Qm3zJw(<~ zu>Aa&M$Thfd@<_C_*I22q7elnJ;$(@!Vg>(V4G8C0p6~&Ri`MNQ?XwC+9vO4E zU%JI>8C!w&EnVR|lWhf5ahlWF3~ICf_D1rAuPC;YzF4Ok7&))*MXjwn;&+%Ii^H$~ z!vfU{=gS$i1^h>g^#XzFC)oByiU!5K8VC7sK@gbvO#I$Xp16zDKFi;F>tFDir+{J-4GZ|3iz;*x)t4CZ4&ZJNloHN~E2o>E2_#YKb| zm%ZcHL)0&_dgI39G`_yX`yi1kaX<<>z2BIaqr?-XAf%%AbvJqI4@N-A3E#+sYf{tC zs~#kf=is0}doy{8qcj8wv{e+8Chd%>*;)L-MWM{S+xy+T%l(@KhE+3)`Ie@XGf^iDKCbGL~ zWaJ2xQmTirME7VJ${i7Mh6kyQhQM`=-VoWKsKKcNLb8vv0w;UbrEh3KAhw)6*TZU^ zYF4w>`Z&(@gAl*>uL58*7-ObUletQipag0x_HL@cfl8n!{sg^qZPxAkA;rNIv`&N- z{k}mQj{#v)T~a7sA}fM4>vi1;zvmpdnN@;jcPEf(x93$~MpAfe!HVBR79IW`YD``8 zRnOuuqUyZjNpOzE`SXSM@=BYtxyQB#sV8Lo^|7?Wb=< z?3%;KP+r?j9@uYJmE1926 zH=*DdTnzXM8g1VE4ho)5+9xw)^L}IG|B7El-j58qDlkuz6?>b4Su&}O2$g?y?D^%RQeGD>i^5pt+7FE z{<2&%?_fz^Z#1QR%2(Q% ztac?g3q}&QE}}vO8spHMfBhBp*Uqv2>sZ`g8D#tFdGS#cneNhDYA$rrYr{8+4tG}r zl$yfrLQ5~Dc~+>?z6ux5g;V<1Gk&~JYrpZ^)pXX>DUL#QnBpf?NmCi+QFMiVPu zzl}0iSOTP^{^!9kj2y9xTf%9v00#*NhOy#EeA{9yrYigd)%DmI%4n3CExEEF8-yY z)3bT;4o@`rNB6yW25S84FB@~sVDEzv5rW9VV5&2L*^)027*Q7l?E3R5#SQcZvmF8LZG;oR+(e}e17&(Ktrryl^cUG9r( zTH$HnG|m6%;0jXt1lX}V>f-U+i!U!SN~F8CaoazDAp}`znAg3jsqblOIdQwd-u7uxdwI3bZ7kWwN4Q zW_n{M{;K{31Rf`@ zFG|Q~uikOUu){@#KfdKf>=I3(_?ITOJc6@`ljfiLQZ~4Sz393laW3y_oo#BkShT`= zhpKf*2$^5%R_nZV<*$jpA+M2VlNq=Wk@VD9+pyAZzw>Gd_`5J)=#gFbeg)RCv)=8> zEC0xDcJs4Ql|o6`OedsGKN;~#UJLDqaQSNgyn6m4`Bl{nGhdRwM)I&15-64zmBND& zN}KKbBsM*TzQBvYBNb2dJsMAtYqXw>l)>To#%@t=dGW^{p$NA#oqr(nz>aO@Z639! z=mh1~nSkls4!vPR8LDdmWy;m~v{Tmy>mk%F8`a|zDX&uQEfUq^qaOUdWk&W07b}Kr zmE(OWFV$p(kg9vi>v4#;WOIJfWm(?RQsj9HBg`$Yjb*4Ji_tN{#hgL@@{s;1osr*d z!>f#as3FU9g>d{!7UM~j%NK?rp*(}B$n$JQD_O-mabaz@jb`8+EY=gLg{&Q}GlRuQ z26)PsJOk<>x-2G2l~*(6GW>Hb-!~RwT{Nxs>hjvA)& zX|Fo`trnEEW>w)T_rwnAc-FmBFj$$wka z{qw}o$ffQ81OFJw-GEY~t<0?whHU@y$Rot+NYB>WG-Y-0mtG=JfIq%bYe{EDji_Zm zeG#44O4Z0I7*az!YF(c}>U;MR3n9wt)`m&)t9Wzxex`d|p2xX64fF5k_qzLALw}X3 z;F5K0`TvdosZ4PWP~m*dH^=*w9{URUeOkdY^c_KfktAAf?(cjxs^_d0bPVAahvPeE z2CVy=KMMN3pFU!r)r%#90)$;9g>!$bH|n-eT)cdnEzvSYJG6#O)t(ZS>8{QVqO6d( zhe$+eSq9Iwpzayd?#+cK`!g&W30%VHp6V1P7eC$C!L||4y@}5&)CFS99T`b(HLu#9 z<4^^hn8;-H9ii~tf@Wm&OeT@;ZRjSI=5&-U zbQn2hJ|u%Ml`H*=iM5)Y++^YLf{1Ug_jt6_YqCF`TOgXN|p+ z_uGy17ZVyk$y~>zox`Wo4qD{n3=dcks8h3YFJP;rt@PIuF7w~Pdi#}iG~w_0SYrTf z^yBtGt@!f}**C}Y2QpbIzb~Kfn`QPMq%~nr%;CvOmLOrwU%#3rPl%+NIrmBGf1muV znLN=bZOL}rFW)&Tj|bZ^wwK}35$f#2f7yce$lUzM3zco8_97&&Rj><_Vi~jSCN9c^ z4(>WxLg>5JqW1`!W$7mkgvN7@-oG+_W#9!>(isE(x#|U|~Gb*tC z9mj5x&L46Mg!I;KPHZoI)H}qcfJVpe{T!2xsQz}x4!8!W$nGIzsM?QjDD9J1cxXmq z#RWfBY0dk$sosD1Hl2SgL~Hoh@csT03uC6=xBJtd@AkoxDiD=%2?v|)8%Kvrp}HS} z=c@|~*ZNsc{^gU`^uH)c0xw4=FOlv7rWmt)s}Rra?-Sx=XI|~CPBFHZaQUt#z$2S1 zCR=+xj3kM*J4-duBA%9!o$ISOC4pUq`aiB6clHKJWahm!AMd?{bN_VoCdeuq-kz@V zo(q3)W)M}UwN-&nT-8)tIi9vXF}$H%`Fw`%_>&FPGUBn&Nm808w-cn>-XxYTmJ{xn z$8sDm+Tkp*{gc)(3Xb{fZ_p#erH2uSM4^22>T7SZmG_(E2h&_Pyt;JjHT-`46fH5X zvo;Qv*6(o@wM>$}Ny4g8#xC6o+B6x>GyX0ucO?}$3zM>0bnPrR(wW8{f5R&;RvbN* z=M~6sKz&hIH?5P>(Oh>4EP<`XQKHl)fCtFJ%Svgk65DiB;*QixxAW=$WE?PjH?1?W zeY+>+wve!>(b=H^r)vwoywM)T6?3z&sWIvl_wTq2&O>H$+mNqc_^@|>LTXjH+iM@x z*C$hH8zSyCBwc$ZQL7^q{iCfcdYm4w`s;q139QWRXJ1-bo`pi)t%Y>n2l7|9^g}eO zRs4CE<(I+~(r-oY|Ar(EN@)FQXr&f4E@N)p+HR29lLD1ehhB<7lKu7J@yLeql)Tzx z#|5)=r`0sZ4ir2()9s4|AJW{+UhYdR+hdQ5Io4ZC2lKaXrloY$(?5NRh4b@)0!r)n zGYVV3Cz(w@Q3Usxulw(A|QCz9ZF2R$uDI7y1Mn*Qv2J0G`N3Ub~~ zXN)agcpmRHSdL>L*ac|duDkF-`J(hHs-Na8AAI-xWgOstx6li!D&-uFa*PoPvJZfB zHpE%?vG12Xc^hZE3zk_|{OywA3mNz%x7lUe5qvMCz&^StHv z?ps;gv3G88Y_Dy)pn~Al0Fi?m^Feou$8681yhl;vd++cFIjisH#CzeIdie4v=YKz$ zV>dG;zm{T*I!v`2iNmb@A!;Q+D^f-nDC`<$7{@U4far1Ukk*z?yJXom`KyODv;5-j zFLU(cEMxmXQ(Y{HN3q1cN38h0{pWjSK6wWAgT{cH1b9vB@eRA}<%8Tpw$?J{uK@M@ z)vL*4Val_eu<7Ma*h$D0 z>XTcp&}^f%3aMt}ZDj*^iInAjRwg<%d`Ur>TF;jPQg0m!oSmQS6@9EQ{ypomjd^H6 zDfzi_CGVIumXP%uiKys>H-(ySwj1F(uv}SsW3;{YsXl+?Vu^0ZHH#4zaV`(^Gk{lY zb34E+I(zufC}0ePejfS!V|B!M!_Hhn{=VM2rx|XJ z{m6JzYoh1@;{~B1(N>F6GQU)F2LR_#qqoHvzQ(7bESt)XaveXAvFg!f-@K#K);KCc z3o6VrSd<&L#P&Y6lk)$beXO6A?MJt14T3GbBA2-Td~;b+Tu&k)7g?#WbWwl$AhRHv zvr~G5S-5p3FZ4js^@;V`h4pb(ejLF&l=uM-P5zQSntaexN4Awd2A_*Q*J2Q9Rp`Hl zcwhI|wPr6T$lfn2H3siku zcs+CQr6IX=&r2)WK!STuEdMpGkUV3yY6vl%zUQ<2X8L|UsbMVK(_apwwK89#Q?NU- z-qu2Rm1+_rPMkfTC_YMA1NcLd}mH9t3Qw?KjZhgN&HU!0uADjLNAk9 zaUUD=8Tp`6o`@l{=fHkf)1O8c)XO;PK9yGxW|p>z5yrl=pGbQ&iGSO-xiR(oq@pYP zCo~1V8ajP5wEgnJ3?uuEn=Ax=pW@%7D#)xFX+`~nAT3;dCx5R`R#@ej@Z2b~S6+q< zdC(^W^co49BzAH7zJ&kjYUbPP%lIBty$F`gVV%M%XQ~T-m-xcf0$lQVe zhy2T6Yu%D+RD-lpZ zcfZ6E)~M-?$K}}7TMw(ijh7jp^nx!v_~chPk}vPfIln)EgWa-MrE%b@Qj}U5lIcfu>BP_fxF19Vx4xx;%UB=3n6S)LEd-6-K{L z03_g*|HYSlIc}ZYkuPx{V3+Lg&$ySf#OUV{?B@TvH?+q6jQ?PF*=)~#uuj&{+nq7m zXxe(RQ_UM!;f9P=kT2QoAutJ#=|guU>R!CH6MM=0OXb;ZwDJ63^m5GDd&Oi0VzZ2X z<0^2mCB`R%;L8*q`BmyYpgjqoJr)Pbp}ZS@J-4!CqaJuMzg|6b&=;8kG5wFgLsZM`LR$q9Zeec&XjFC<}StABE$IdxOj&g>;c zF(17ay-a?kGNniZ-^q8Yh`9^GE4>@2Thx*rjQjF|*Z!o^$|HN3iGjHta2-so`fgHk z0vW=l{tSF&{#~*Rb_Y=gA*(w(+mNfptG>GQ0|U(QC8c^c@>x+{y-id*j>`$)VXF}V*3`?rSQTU z{TGCh{6KMGIq$fp9!EIm4V>Su;qdEr{os*lx1!)vVaZ&W82Qsa!^&;XRMj%nU*og^ z@gYDxo$_s_S3v}BS8$5sOo^939ccRn{F#O-`l|pkp?Y6n=kdSiWONp-VZ7*S82SJW z)$71s+r8f=9=Hk8;_}FZWtNfB^AE%?LU>6QaYZHuv?x1APFv6KnI1hE9{vC;?asf9 z-qbv*J@u#Dbh7B}>W)ryYLD9pd!DJazj9yiyNv|7jh8^ln>D;4&x%eG{$88-uZYwE z&XuM@HV&E7w+sX^WCKm4%d^%BFI!N#aGKYf0teQhdQB%buw-LK0Y-(-u$V$r_TlgK zF@?zFIh~cU8XpyOACb&lXPuylkxu$qAzKp1Qq&8tuC(`t6{h)$GIcqRskLNjSjI`B`Hto@cifpvuoN<+XsvJq2ANP9LR-UaGyrX^|0xb3s?| zF;1@s)NR?JqyYWx;fld45`_}VenU2_9d(u~+{79Jc27@kQC_OXdRg{gJ3c*W2{p-! z(L^j+vgRdwsWsESwqrv&wxYZclAOh#ZMngKY^lmq8(TAh2Yg+WGX~ z|6KdjXnKlW`-(LRChw*-PE43iuTPo&OTY{>21-Iy`v$n6l?41bT;0-DD2Y#5{V~ep z3hYIbMf!ck&?{6>pPIoNDj8@ELG9$|w~31GcNpydRmEbGD6lGHC&UyYERaT@#Vz{O zmd1?P$v5~ zWU7bgbW$o@nw2Q0#S}gyVTky88!VxpH$)0=synq%tG&0YneG-c$X-bj54nyo+8C|E zv+$Ki@&+_u^y=Cw8u-1EKbc>5>qaR*{bAUgvPw*1Vc~{SyoF)HN26@})GDt7lWPc; zsJPj&9GR9_EV-bG#RQu8={3{;=`Lm$_xJ2Y{a3RwVYavX0ncX7?RIuBarJXgdQ}NTnR%4$J4_qhS{kg*VjGbS8c9w;Oyd zQ5Mj2B0ZKPoc60uM)SRO90sU~^%ir#`n1nSh9lKCCzJ>=sOmneBf;g$H1PK$EOvKt`2 zqwZpgl^Q@z4U^iw)ez+1fuLg~3d*!>*WKJCIYDHr!A2Pa`Yhyr()*m*dO9m0)3h45 zD#fN9#Q~jHZK6b6P~h}XPJ9RhK9}e+>+-P>K44ltRZ-Ib#pJS@>9tWEc{Uu7)~B#C zP`~Q^j>EmAU`|PwxhuhHsOFug;(~mu_lLdOpDERS1*<=mhcG z*W5lA;71zar=KxZ_G5~iCr5=~Kmmg|XtK=nh!pmp+}-cg*1$9r;V7s$M|H z6$Xn_7X4ZzG6z_?SvS>Pp(tw6g`#y~7few1Qzp@n5%|YV^(M|v4uGFVa!qLm+)xNU zgTGsE$ZM;T*y4Ydubl+hLHM;8wNxZS$j_7U94g0kY%3|V3I&GUhIMRT7QRS4D|zA4 zD58wv;?F#-R@AFz+yoFf+itdGv7A5RIO)Z-(2DxHgr7**&IcROX=z3UMHi-;G+AbQ zSIDxDbp~p}uV4J>>Ex`bBg)TyBR{F0Q->x`1-40BHoQG4ZOEWNT z3g>neb*OX41(vwZ`ml$L*1@8^$P|3;v7fpUH%y@5wraHk*t>;Oqpt{iHF{w2=2^8_ z-mB&b6C}tEiDn&-Ia1RdI>`$1I4e-*g&zS6vuc#(GUl&&VTgw z6m`;-x#<(eM7_hg192x_CY~W(#G8-7V=z*BuobXZYEs25G6Abl6oWg2T#?F@Y22;~_aiGl2vc8! zo8CT2bVZj0e{2{zJBPdsBcqTk9yO2zD77j?=oOPe!AOG-1nd?_Hg3(o6=yI-J99p2EVWG!1g zc$#zP00+R+Ni_H_+$&z=7zZ>B<9(eliJZ6&J?j$P+YXQu#RoAIkiB_H3R9$tUBO(r zBEe6GH&aViU*=&B$6yp&?v>ZM)f-U_Ou|f2y7kjbKo4K&;`40q_4-28xlDV5(k3=S zTQIPV1gLu1Z}`?NSXX2YLe~{NW#|o#9J=bbOz#HTO+1oW1AAi6_KB&QXWD`V{VHG> z7Eim1yPk9IpcX@q7E71)A8tNBW)>*kM(Stl)9?Z};0?Okopot4@R)a*y17EZ@Viuj zGvQ5ZMGkhI5DP^Cnq^Nam5d24`gb6s>gtiyuSCAi#ABGLYt5F50v~c=J{i@6gf1L=iaIA#$amt6Vn3S(CA7 z{Ud;~yph`Oc3}yW3h~6}`h=j^>%%uCq)wgS5X``+!}vys?@RKIE$c*{zv=2HNTA4n zc*^M^K+QsQg^OOL$+r#LstW^SOEnf*AA(|D9CH35{Y?QXd+NVXj7*Qnzd(|n@u9{C zNr9FzvFS6vL2eAemWn@|&P>Wfx15ZAUkNu_7!7C?kmC)RORQjG2U;e^fXmQvfweI%9j7&-056k_iw4&8dbCn9|{*F~VIumdp10*T4IavT|MSu(Q8zZe3Q+d10k$q(&w zXcDI(ni!=i=tV{vEOvd_rd@EGzCv%0&)_Raiof5Sz`0)Pc5&9I(T^QiZ`6{N1VIHU zPc_seR@UN+v%ImX&MlceIu-3nuR=qy8ZO3m^9abzN z3D!!H%&g{n&;Jl2S~8l?6`C#93ZX)LWd7(UE+`(fDVvUyl>R>zhfpZPXX|iiuLEEk z=@*svb>VMrq)V5qWE4r`1#+?JacmzyYLebhB#i#2`KV=eyEk5JTz3>Xs@z_5$G~73 z%(MriU3W7U8}roZy0Og(!yymLL??GPF-Ux#n3~zD;l@WQ(r@8fn^WP9wdZplUGrF- zFL0nohOW#R$dR}&KA~G3F)(m0`SUw^4RQDvUnE_9IDLrXcl0?Q2IdA!4%yxPOMjC4 zGX0&=<;!%@KMi!Ybvvs;P)K)$O>5^F{CElT)*K009YRK2{fWWyg@p`{im}?+ql$Ko zr))q^FDz2OM;6;5{U#a@^XyU^zyeTbSz@8~B5OO70_Br<+A=FNak)r8x^N|AoyAbq zRg2ygLW{4+@2iK&0c=be9t#1m5lWxiCLbYy(uvZ~_V$q@z9%YP8WB;wA!z>xl=D0e zMH{Ny8V%qxKuAo&PS%a)nkNL5sE36RMP#^nCa6omeLAOl_ojH`NuX zSVlu)l+&8L@rwrGybV#wHJ4E=hIzY3CQKLoyzPGb(cL<}-Y|XX#rX;vL#0ZX!N9Fs zUc;Q*@a;6q5oflMVkKU@ zzEThy5*}x1s6JHn84ozrpe=)@2`?KlPo}AE8@RNic+o4DfXv5%F@{ylmA@E5%0H77pd`Vqb_hI16*1H6MfLH2WB7vN9 z%KUg=P49*6Ixrecy=!j;4&o^x0I;*dW!!S{4S^$i!^n_Sm9A|!gx)*nd*My%azpI0 zS_XD{VcPDN`yqf;yFv@GO`92-S0LuxqJ>U1Jf^y0&?pOxdGl>2;g4m&$RJ`JT9YhH z-m1*%&05Db*;=Lbjcd|J@#}3yD1gQmmF7xTK2*7nOLx4gbMw~>ci?& ztmaInUNbKeYc9qA4L}%BB8tvpKta6UFj!rs-cZPU*)DNSDjc=5sl?a=Vm+JfE=IFNIsl1gaf{Mr zIdF8rW0V=xd;;fWe#a=sPvdbU6{8_XC<8AHfw|*cG1sSdp^+50{r` zDBsKkwe`XyV~Yvf)4Wb1QFHicsi0il{7YO=r9YLUUA6s!aEi1wF}5A<_`MU2hD*Y@i?l4oD>6`lz6Y#Ogx_VQS9 z(heiFre4%$L`M)eM^qnk7(kjOl#JX^F0wyi%P!RBOq+W>c8-1UI$m)u=rQP@0_sF5 z`?1B)c`MHVFuUb5z1yuuP4?K7#oitS3i)10py{gBSFAJC<`LUi5Ad9#{iY!0pV8bQ zgW`SCSTPc8#?&tWW-oli+5KyJ6h6w775h(9+G)PjU%IdYTwG4g z^GIiHP)kZ%H!jhYPv9Rx<>$GrUzahV$yXG4!R^(eM94aZR*X%S_LPxpQ!?*WJk8VzMVzJ1 z=7L${cIbJDb}L8useznqF`N&-os7=OZxqv|F2I|T9E%@+$h-sl%`rp?H`c%2(z_SU zqnp}M#bEB{C7-R2uk{b5yLbi}f#i&$%;@+>-#Dbgro<>hS zWI5IpYoecf8me5DX9mOt(ya61#J9+dARxGEA$6m~C6Mvjm>JCzGbEZWmchcS#5 z#78O_>a?@zwr81@qlP8SVl}gUy0)dUUg9XYW@YU9YHA)Wpv%=Y_?Xc_7&d{}(~@Y- zwRCaM>X>uWk$l6j%r!|fLs5i|z7#=d;)0#qX5H8ef3pvtAXydoqI?K$;Ke6p;eCBP zv{so;G=U+Wt$9dd(}!7x4??aJi2fkMQ9e%-|lrO`;1zJLm{b2yx}U_(lppG#Sm z(|Q2;|3KiEACynB4Ia`=# zXHtXb3obhn_RP8q8=<93lN^?1nyV+b`t@u(tFF;5u3&_&v(R=^!&=|Gqolsmg$2n{ zV=3NOlo!%=zhl*{3dp={B>^|UpxYvNI^_TNF}W$rd8rRvf>zL}rWOX@Kse#Ls6lC_ z`@Eg(A`+gK_YoM*t-DPP(=b;Wp|nHm$OMtNaym(2T~z*zeG>QXb$3~Bhl$~)Z(L#< zabF_pRJ;m}W}S?J;4Lxsvx4=bBY}?c|8Xnkk7y{IyM$ndL`@R14A zKp1GJfuxdK`#>fA77*|UxkN49t}RG%jz4$Vb7v}(5DEV2nhZg-|A|y=5N3p6ZBW2Q z7+sYt^2se@^ig3`s5*Sew@dxtf2Y?*de>Cd_)BMClF&Lq{wQio&o2E}7Y&3{<(nsS(r2F^7L5cn4{0`>V5 z`kFhOSq`_=a^%)6A5a(-F(mNu0m+Nl^4{X?e}62SQ-*sS0S1h95Iap3 zoYh3`zN9BZVvPDvMOd4>YOx^hn-+mQ25jX=VTg(lguM`?tT)!y#)z01fbXcVFYcla z;oS~e&<$At40*ODIn^;~(ywSml1(uIz6pUMJB#O-&4Ta9-xABUlwbf=34Vjm$p5DN zJyha2MoEwhlz1isYA`5Ckw$w;vz1c~eNS7j*kJH}iEqDtJ&cI-xQ>EW+IxgGB@)4g z!3#0J2=V}+L*Nu_J&#C1NMpFrQ_(?x2^og}y5V7pZo^%rDb2W(m3!#+eipAiyPVEj z1W?J1QP{eZ&yP0?f@_U5>wFosR<+OBO|k8IiC5%KWJipy{Oe%+7{!Ln&rQxkm~O~^ zpW2oQ`HR&0D(F@og$w9bgmo3#B%lWAttli&j4yHc+^AB;ZFM%y?lGu}$eMVkjeJ`W z*7c*)D}pe^qjun!{GaW1Ny<0$og_KHA#GV)rgk)TXC+loR?#a!hbsv>2Hy8sWAlcn z@4A0(Ss49q65*87K&`u&uV?fY)*T0Uieys!MY9rye{OsE`!V05Z zLRZ$Kmt>1XFukb#s22L`d+S<07A`zj4@lKDr#jW$14@9vhiFl8<&Stdn?HkrB;~$* zx0OQ&R$~vKtC4{EMe@6Px$Gq{ga4GW2dCM3Dfc}z)1A$#urdX&fmHhP)u`1oN z^a=!oJusr-!H`oauf{DZ!U`Fe=ilVh`j-LDsr;ww2H2fyzvt7MEL}_;yG9?=JC6#Q zoO6KC=Onagu$qY3li8ricBq&i^Nn{6mB`5dGcrEe)GCIYVrwq_;&Zl(z^RAzL-A%3W?aI{m#XHr zd)Sh6!xPHgxgEHh$Z;Vsa+o5R1mYq63b-mbknEIH7ZrBVb>s%e1VSmB(^$j$2ROHy zUu^kuf&kb_DDkod9!D0Sx~AG+fx_=H6VkZ0J;>FB>u4SD9=O(#Y~54ETO^?YI;*qD zaUzo4^e()RRCj!MvaCIjKg&VjCbgi6H|_0wA+7BUqQImWj!* zRscYapVD5fF|5;~op~*2)u8iAsV-2vtdQJxz+I$$Z;;eC>Kaj~ok+yjz1791>n-z+ zp8=X?AduvQfDUl+TBJ0QyDjOtyvSw7he6SsHwUI!RJ+@Lb|PU*V9&thck!ep3T5i=uh1S(50eU}DL2;QRKB+A5gYa*tZK<)hd8 zBwapC1E|}u1>r?LW}LnP_)H{$n?@P}JbW$xX32%QwC2v>JiNAjQiCIC05$}D_Z2Ch z=4x7&J8NW6+xh_iu-<6w&kJE~%6hvH*8@mc8IY-}kM=4{Gd08xpI>ppREJ95&^mxU zt(y1BQVkrcC~(}=P%!dEcGfJ2!H(la1Ek1?VtazrV7z1tev}>pL6@4;#l~NxD=`_R zuZ<~G=*9N@hX!JFWoG}sjlZJ$Q|Q=@@{ykrz2T!5{Xh!cl6_?|)9WQQ1D7SepvTPj zcAcY1|3t&p6x%4k_@UcsqYi@@sbqbVOv8vK!odbeh^sLcmU~Y>;Bh zAZMV5+QWoP|4;xu@y{9afA_eq?Nt5V?8PnmWxAsO?}DqM1&WN1jJRp{1Fmnm0qS0r{(ylsk{} zu*NVx+yN%+Ok2p?vR6tI>V_W7>U{^DjP*&w-*6Xp9^P%7tAqQ?z%Dj=;E~ekfNL9s zF__xn%_-7_BXIYumh?V+^!h#Z#9<%F-+^y!k>+WRi^}$ZSAcyMR-FVtYHM-`)nZN3 zZA~n^!2|L?Rz0!hfX+i*WW9J$0Bk-k07!Bgr-PgTdjL#WVoz%yK3uKhh#jbt(tQIE#r0YprG^3x2!4l)Ds;v4SM`q(Yo%nRdL_z)DXqmwkyF9j$Hjh}6If z3_b|!j0+Js;$_AEVzfG8n({!1A4Wph?$(GV1{NHSa1vd9A1HOQ-NVYAO#x~G)CmjH zA4;}a0`NZ8gsb)+^D!aWEWrq-H|f{m-A6h@_kKrBwONPe(;yFNG08PW<|hVWHW?PPQwJ@`glV&c4?*_ zp9LN1NS8^AkOhVWYDRb6n`Ey4a(i@$JAct9%#pMx#lbBh1xKYviBsuO;Y38BBO~Dk zic3+^;Naq-Bh%0TZxP~DG&I0FP!tF};-Di3mG+NtXljUnUj?p>-hVmCI%(7QSoT>x zc<{SW(k%XT1I}rfeRdst`ULCj%l#YJa>v9EwfCDrO#3+OpsdE1Zjzg+Z} z0x>3AVYA552 zo6q~(ckBhQFxtPmsl2;d=_JdyuiQ<(p~jFdd)n#Fvqi}bCZxYVt1}S{XU6V!Q(fsG zT6yLuDx~67lyjjk~2Vl7fkZ?&F6WtW60D(54D+Oh~&NZo{3Mo75|c|LUiCpN&@T(1H^ir--GHfN9&OG0~{Y;4y>-9DLx zO*-hU_!9u+^DSK9sLS$oVS2yqL&_WV6G0bu{=)Iah~(6u9lYb4{(tb%9W*+`k)z zk7$UD<~nV^{(=4=9zVLvZZdUl{JbAxpL1b|uxcFUNq-e&n=~v5o|V)6j_;ia!GqD6DK$}720irfVQ?B;kpLL4t?Mbkz@Ye8$aHYtp4n=JE^6;V7?eQ2^gjzO#2vYI*1kZnoV@KeVeb9CXwo`kcB$@oVN#tvd$_v2!80{7 zVf_btYUue$e#I!t#@n2ehmymGp>AnrjcJgG(m{|iX&aIrA6#+gxuWpdTi4TEtwqCf z>ZkT!+tbOm@8jNuy+6pxOteJj;rfrFHqGzmmCV}#sYxh>9IcNF=HmQXq#9soztP>+ zA(e>eirc5pY&OK^vF87%nAq)>z~@c>ja1ZGTTVEWxQI8W$%l0}qK1sXHdU+CN8rn6 zg0Gm3(jozHG&FOoylNcPrWk_UeY@Ap@b}ro9F}G>&N|<2&lfnJn8NzKPjmY`8Pm?| zWW0%=czDF_W zPH8RvOVE)zjb2OM`aA6uoc@7dyy9;Po=9!KN$a;ZeOns4k)lp@==f=$u<&Nf0Fl9OND%W23|%pz7{#YFJjcC6Pr`46Ki1B zqQtAv1!!;rWP!FVAl<{5u3Cdz8){tv-~5zGREcDH5krYOo$m~OO-NH*TOYqB&~3Gw z-}vl3HVC4H;b#u^WdjoTkzxOyl-5XLU;5*8aCKd35E;^5>x(7&t^jQ;T}jUgkfIi! zm7>A?d~xpG>3MmX<;NXz1Fb0L0kJt*(gM|)=eM+dm7ltR!y|y|H)BlN%Ua)L!vQ^H zU-!lvXkQ+XQaGkwE7stvF)K#bOBgndjPV%MJ^LK384opbS~n9}Q)VQ~tDG87mM_cC zW*3NaIS`Nwne9vOtLHQ7>HI9;l|g|D+W(GJq%n+1S<*)A5V5J7vDs{C9>^;;f18lp znhR}BM~QpN`i=pRoFC|I=HdvTh{XRinidRf^2T1RsJ@0ng8AX3*T)nw0 zQE@bU9uMnTU^r{Ak}5o*l18Yj4!NS3k~S`2$}G_NOka1sFVzkN`0&yU3`Ul+^*@f&+WQ!2(l1GE0I z3v14rf3{UAZo%_-4a^0yd-v$xT0L~VetCSyB@tEZ&*Bs0MU3*Oc=+~g!?lvo)KC}t z&;Q#dJD5)Mgc27_(+4YzxSs`ns>?%qOp3fqtCjA7g1~BJfxKMwX(M*$l%UkAiA51s zP%4(y^y^DHXu>mB|5z62QsePen^+3n949E)T8fh1ub;zzJut|ZA!~mqa}G{Snb#{4 zv;(831iXn3w9msw-siCiYU{#G->UuFd}J*+lf1eYhG4#A1M1X&(j0%%q ziJAi7jGM~BnEX6EAfd!xTp|ZFF$I17`6@~!L4Hr^`T?zeY(~nc-#p8mNK~@~IFKB< zaQMz_D6B1}MoX}fp6e>+A86A9#(;f49+Dj)+vTbPztn14OTDX5C)kAPa&qUn20Yf) z@nknYRfHWdNB}@WUBM=+!koJNNPHa~_cFEortvCw1Vf7XUebOOGYf_4Vb7p?bk z%lJZ;S^6-8CkZ~fFri5X-&Aknop*Sf`q;uxd1}A16&0*Hd+dATum>pCljqvh!B1R~ zX#6ef@WFwOHP30i2GJ?E#s|>)a6#7S?HC1<9$9xDkclPPOys&)O&=GaQA!!f@;WC= zF(Ya6y`m9;3kHsKERXJ~I<-CP$7d|lbGXEqStb&=tr7e2*RBd_eh#0-*n{d1R(ijC z23l(#ADq~_V;0gYO;-G*HOr@TPfB&~=B;F9FP?5e!f}b|sER8Q++bsNu#aaxxISP; z#kCjU)5Q+BUL(0DxR;XIec4x})I?W-pFNWZs!8=>@4G#j}$<>c1DG-F$dx8 z{Khe(4&;gV-3nQt(wP&_XH0(Y;r()CuV+nF{CnkOyse@WFVW0|K+#J}Hk-}aTJKqO z+omTqJdgEZlxq-SSTozILWq>=(j;rx7?F6_A=Fut!5^9b3s9GDhhD1>AS(CeB6eEo zW)o1T-?Kvq=ije@GHUyyT}+hA3A|&1{N-ZFu-&RKS@WD^h)?<0OGK3~ff!jAb6MB| zk9qi1h;}M;NTm`g-b9;(BzR?c+N3X=wrS}GpTW`z(*DB58Bzz+!& z7)E!l^mYQ$wFf`=zy*MJ#jM#;ElJLX zyOe9eVfTkpICrXHPeg~h&k7JY#j(6Y^`a5&*&k!@UOn}w?DnA20``2+6=t0~wmrxc z?CC5`KA~A+1D`-52by5Cr6&-mUg!{k^Aah$zgwR$rtw6W?9@;2iRNa9KX+uScsQ;S z8&f7CH>VeG1tKka0~ne}^{MG{?@t6yjX#VQ?~?(VggseyD4Q^Skf51106J^A*xS=OUfyz^KM9HJxBU;E!Os9KxERi_lSoTI^uY7?f zQCE?$@-zEBUP`q+`^eO@23xk^nGPq?ERZLzO}$ggm{{w#Bldd?~02bp*@w3$j(#yxjBs za?v|=qy68Z!7m@p9v$66+D=1DQ0|TAvPRwK{oQ*!`6Wt>0+GM%ez>?dT0Yt6)Y>$6 z`j-HqZC=e<;=Rzm_6jK^V8q?cG=Aowt0PMp{`!eP-lY3@a3=b({1x|tCu6WhhccDN z9Q$e*)UOysmf4^Mtq6T6+tv<)vUUjz&?%W}n@b@{9Q#e;*{PuRgp94c|+Yoz{C z249!X&>DLHR@3bkBJ`hUZf_M@&r!5vn7cl= zN^K&0*_cLVe|9Ynt*1_w35lB>k61RUj#MNXgT6+H*-87de38hQ^J_Bw3U@+Ab(_fw zK0k0z*eqfzv|FRrwYMW9Z${Jz3^6E?yufHY5gvrYTz^FNO57c|Gwl$ky}Z>3g}o zZrw4ZwS7kqw`&P6@rgp)*TU@rd_iKLD!Hp9skVHLIISs6q2DJVI7li5qU3s)n&z7%xdm!?( zu9{t$xa_f_hT=OY%Yx2AG|?tBiNjvLUM7=j%MzR+e9@p~S~N&Xk;}$^2GxJn^UMJW zKwklPyDmbwVCOh{#=&HV)DPs4`15p4=DgE8Q9=K0&oA>ELz{0p>{*1!w7 z^{&d+PiFqcbmaMQcfC<)ocgF6ua~{hpwj50?vS8mQ6Vpb{SIZ`1NbFTAsY8W00!erDGi?u9Ylb zC=dtzC1oa}3|I@nsv=b^`qM~WJIi;oSuTp&cDOAzD4c`kN**k*+jeR67D93A7>$a{+}(kqJvM>t8Rei%Ew1^^Q!6M(qjdpaT9Md>h+IE z9mW4~{+@DBbh?OgW_dJ&%|9B1v;W0cm5;Zhk*V>Edf;OP7ZaNwFTQldS+ya)rY>4C zi!6pDa6$JZXC!UwP0^E4))DZ=Tl}MWA>%y@?HjLSH!j-w%Q{f&!6rKlH;37r5VBZXrw%w<>bjkiBMr+xVf%g}`l(bq zrmN%`#g*<*;?l~}SHPWKWXi_hsg(Kq1jT(=WG*R0Ix5Wi>YZfiE8$&-qzV5V5ZS%2 z1EYUI$=Yu|#w_0l;j%{Vorgc}%nZGC*y zRlhE}X7k#yBete3Bw~wvffhNxX48U=P(k`dEc=D)tEL|H^L?ol}5k=^;A zG5xALHKB5WroC4=u|N~6+vG*m98u#Rb>eklG=9Wyu=#Cfdkk0MkU&&C3~xVzqoQAWa3NBYn2@5w{j_dA(jhi*ov5*W@2wy?bNI)w(5v z_F*-|Zp9&sW;c?knsAP%d<6{Mhv3~l#KYJ^2UnT>0yuJFGYaqY$k2c*SKkF@1 zDE>~1p~>4fkCx}tKhcJhVp>8%$R_YC@yb=0Jz z#1oQY%cCnIA|d$Q)eyPcx?aSY<8OpDy(GyKLDD$;tTU+~YPmZh5e*)nem9pS~m`v-H`_)A*VP4CKq}l1253bpSX7TWB{f>7+~U+O@{2pv}66 zFFzp4_TY5;-Z^BMJGw`JYK*wTZ_~=F?qU8muYS4iQ5%?#wK%Hr`4vs=tc%Bi$ltj~ z;hc(RchA?5Vq>E#2t^6AmT4H@=3*dOeT0V2J-sE}JbsG(&^SdtPg8ej(gXRpUYQ!^ z85S!wm#Aq)2CsE%F^IL`wJ0cmqhY5wSU@g5)Drvqdz*%}1M$a4)TBw>!?tLoFGV!L zp7aLO6=``};j~iRom)QLJ{?G_xJ8mfQChwh1AuS?qY8CBB*!;~-`-pvDxi0+^G0;7 zc9Dis-apJ_3BltQ-IM~))*uz*Qpk-8HI=`xl>4U;`>v0hM5WAhz9i_ADpM;6k*oPp z`l+bHPp-uYCfRePV%bZADP~zc;cp6q6N1Cg*&$sTu?rog3MC^JklJv=3s=KsLd--c z*UB1tB#qv6cBeLQ8(vw>=u>O2;J*KK3A?@}jpZ9P)nL@9hE;z`QNO>Xj6cT6YI$Vx zc$SgX-zz5Z@f(YuO14nPWHw3}%{6otaEs`N5Y^kT5`t(vYP=VxK@DJrw2%6fR?rbp z_1?iOBw7+@l>vgl$|<0o>@*et$Sw9r6mLER2se;L`T4xZrwwfIEnTuBb_>)?ph-qo^EAH z++2uKP2n)A#0I9olHvnya}{+Q5wxPu-5}vexzIQN5bhdzPxZPhOpq~Ik1&+)mDyiW zCOXPfrDi(Lj0b(x&ywTssERcucfBYK&K(C*mbVZe@L17+QWr8%_|+QnoCnr#9)~ONyF6Id>{XUD>Pq(j3*pT~Qj@DN2!426ieEP1J?;Xa z&WU&4jIE~_?(^l}N-e*44*73TR%F3O5Wgl|n({hF59rizPI8+z09gTA!Me!_>)P&2;1|PjT^(_;JxR49*M*o(Z#8ne6N6N)3#QD3AEf zypJ<#XZ>30$}jjpUVr6!qEG-|NLJw3x9I(paqw!+N+k>k%Jo@oV3G7(_K(e#jjMD% zJEY1iv@CwH>KE1qG&o@iH!yYSE?6S2z1A$>F8y&@I}4mJVVzzkfKcfAEsbk*zQvbx z#?mPFhVafk%O2`<*jdiR1^?$P_rOBTu!L8Y>uC6+7gkj`Jaw&-(0?8H2 z_t61sGZEUHAOFQPDb#m3Tz(+imMeb_8m!{)r6dqn`>BRbzPdkM3AX0C^VKH-s}paa z{7olan&W?=!og{mW3RabX_{kIeVl_?_uf5MKwOI9pdZOPK_|{yBIbL*OjlkC#H;VE zK#n*7F?Ii3+V1y$)MPRXNV*kIqIa&|Q?4;M18@AKRPBiXay2igb>rEZ8Z53UKfC>a zgT*~e3;B)4QZi^|5q;bWe7ubw+;HHv5;4=s3aQ%^pjac`ftvi53ebIYS(AAIA1+@r zU_?jls^V{JaS}D)u$<4o9tJ4%0qO?wvyTq^rA%+LIk*V`(R}7KmaicH(=S)+B!5L+ zF_$Ms04X&?$b%Tr!{Jr!}(DqiSp*)25usw z_}+7!IB29fIP+5qF=P%eHH6_(sGZ(mc#XgKx0ij?d)lZHiR&UIK;{Of6W)$8aeMab zK4x0zLwab9%xE0aoXqv#-Io>()+GHoslR!R9;etz?qEGx|D;!_a_jZ46J>0CzD7`j z=kO>MniMYWr}^eHk-)}1VE(7c0Lk&0TBWx+*4H%}@3Cgc#9@@jP#15ls#FPZHIv3o z+1Q)lDWGN3?+lcOTwCg0HE|e|nC)!MbyEwNRk$F+{qYB`RJr6CEB{~q>j%@7ebk?V zh^Gh2v7VII^-+26)^L=2)={>2FN$=Kf`F4I-zYiA1;Qnt`Vm~9K^9joI!fBR+QPN& zhQo=vR9fDC9Rz>ua6hV~Rdh(mZ_k$WW=)4pE-IX_Q(G9y>o3b)K_yN)Up`TFiBU}%=LGH|nx$}a3C$!LAF!-I!^N-D4B5+t#=Bth zl|*95&C?G#8O^*}j%6L*ZuXjUD4Rp6vS3G{3i=_bjO1` z10{!g$=vl(b=sHKX6_3gI&^Rlb~q~gmbv&f@ekGI)AdzyJ{!f@XUy}bZo>S3E-Z|* zUj2UE-$%CU5Qm)Y$h<9LnZ1n_F*Q78By1-4(dwfK?RbLWupLi^;bmUY8|FMGZw4*h zTlz8cgPIq)$)4Ez4?Y`%1)B3gK=GJA<-OhihKnV;OIj+=wdF~tzJEb`bc4=b2QHFP zmWF1JC@&68l9WGh%}nAS*hk!qzh+gJZEnzw%}{!{Ug;I^GX`Ng=oxXN7p(g<5NDam zGfGrz?gb<|6Dk_ePF~JqhCSXB*k<7Es8!r#Q*F%NI8^b(57(u)p{8T&Whn(qYT%-{ zBUjdF+Q1W~R%dtvV7pDiW=BLM8Qrr|FQ|TeP31qPGQd}>Qu}0P4{!Zi3A}3)f*jZ8 z{tkb%`NdjbfrNQGGRb6&Ru-Z34oY=~ZF?HTux}Ms5{lsHOFMEQL zoi3lHSe%~8J&Te~{4#g@7Op*pY9PkKZV(eZc-xQ214(+i%O=nJ2=?NFyEbN1R6 z0tFIpp1iy3J|}_+2@J)fTN(It?GzZgV4|mKf4cTf&la+!pZ{bxOVZ6zYvQc&MS#xCZ67-&QC?6k&2y!#FKGH--u8P3lpB`Cwb-SzE`?zYVut`K9#>75wzWW=*tCBj1BP`v{n!# z6m`d(0poZFYO{{b4%H|yNa5uUhbE;CtA7ireYTJH7(F)-{#`Q9dHn=MX09E1Q0;iO zGT>&IFu|~jNH~0_DQk=nmvmwx@ s(UihS%F$=`y{9jOpPAMYOL{|Wk0QSQc6s1)7}NgjYwG;Lp}(H-KS^Q!jQ{`u diff --git a/firmware/db/valveboard_firmware.cmp.hdb b/firmware/db/valveboard_firmware.cmp.hdb deleted file mode 100644 index 5a74bb60efec4e53dc2189edf6f551770635e2e8..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 21398 zcmce6WmFtX*d|VbCb$oT;I6?X1V{n|cNtuQyL*7(?(PtrV8Pwp-Q5`+hMAq*dw0Ko zd(QsZGhO}E`@AyURc}?-^b{T(99#uDJnReyX9@do!%)q{!O_CjhLV$=m4lUo@}skb zl`-W9PIgKT4mQ}q$quV3f2EYQa5ABkG`4Vp**cUW{1c)@?jL|#!T1;do|BaSt-HaW zdZTBMOD00(2s9-nkv^H=vkpqcO7F+IQy$CG#|`n)H%MAZ80KLtYDTVIROaGLo_$x3Yb#;<=L_U?wR3_ zB9QRHE}^tx7-brDUGwqNNdJ!p6UlU=^4gw_c5>MRa(9Zj0q3lQ&^@PV_t5%1o}#=& zWJYuqf)Zv0O?{afxPwo!A_*qDNxhHrQ;e(Es?kaLE5-NWO4dECZY-kV%g1+JDZ?pl zU)roqocJjwe!m?^K&2kxozmX-UBcQ=eJ_83irUN3R#n=oCS>hj#PX;3jQSd%EnBy) z?LE(4{p}vdSo~e%Ug(U5rWD9}z{EXuVb5A6$t3H`u%$y9)R~+%OC+g)x$I;{W&4A) z-boF^(Z0Dl&F@+<6aQg^|GCzj+D`O2gp3s~RamnqihkAu@Ukp{MTvi`;8+PR=*=3> zf4j+PnRTgn$z+tS#car|uF&NE?)D~`=HX4n9=|Td{%_;j?gLs{+OMh)r!tx>+|Mt) zy=r4tlk<_Aw&)Z=)<52e9D1_yE_dYtwu;MjoWUkJE}6y*(ZlB0t|rWV!{6hbZfBFp zlrrC6nx`t~-a zb1~xXnPZ4hWkpUG6(XnMgg&!22=G2qdSro5{Pr3`7IhwsHMdU|f6l1P=Z?r^m1YQ4 zUROE@>AB3*)@5m`B;V$0v1QjbW0;(@hJyG`_BfPEncO{qMw(K|a-cXb@73hm^WCJN zF~$)i+VaSWS|L+`O83g0W-KMzx1-0AeluX#=)vEk{8bsPOn((+G9t#m~g1fykkpY zR*vooomy=c>F?oL%jBBT&pNWz(weL1j}kM%*rs!V4&?(0b>qTpHc2)0ZA*l5n&oEo zC9(^Oo;C}Pq+t`U%9Y)`Kuxi=v)Twar5!( zsQ=}&*9>0@ZUk+pJ=^X9W08MdsYX_{?pT%$djEO^Sj8^*bbBSfFg{z`L-GlqJF3Vu zvn9@7dU{ha`*y4`GTmA|P0z{?#Oy5uuLvhmcr)EHw9m?LaWRz&%*Yoy=XlI#zJaUG zCcFZnIHdx5eTN$;g6dL=vQBAGk`yIiOOQ&Jg5y zOri$~Mpf@hPQ?Lk@M8lA*$eF(V*Q+r7z^T>YQ+Rm$!dpFVFKfZ=_#K{7n$!~EhH+w zmu0CNFr5mt+-l~~_Rw|`{9M-X*;3{1r*?j=v`KUkcB|j`bNU|m-Aj4c&@_oEMi@*n zfZj>b)f#bT%xtdzd$j(;ZM`%;x`Ahr9C3U)P8#RKx$4FMK(HPDiO_zGYGjg-q-DO! znAlx6j(MiBT@!nR4tFL0F>+VDzG~!Q@LfT!-Iu%*s*YHpk~_^{QYVNcqDuRH;2LGn ztt|J&Yhj}r?2#IK%oyiUI-KYe*Hw~1pm8Z3y>b<@OVR}2(Xoa+rRB?~Nnol#z7^t1 zhk*?3Jk|4!ez-_&M;)X*9K74sShdqvfbuXMBD<&XevU4^jLg{}PxxE=QSg@SN9Q|m)3L0Mmeed`p{_HCLazOa2gXawT_C~O$95@LP z&fQo_SL_JM*?8u>Ss{F?SP^$N-mqb1Dvpl=TdPhKGW_7R6tyn6Y@ zd#o-Re(=*4!8iBqMHt{@;(gY{`I>FHCNJpUZ?QHy^!k zLJ{(m3L1Oj*%_&_uO2h+_#zHfNDg|VAGRTM_tnZw;KuQ2!nKKX(dlc$M!D~-XkXL? zLEQy|pZtKSIdIb#Ofm3hkwlAs^59E{K3D{0ZJhg99sQ=*I@RjwBFe~2-%@{up|s3J4=@c9=AN@guyPC;|fzMjkDjy({kq27F1N8gHQMue{X_V17gL z&>|lw@)|48@j@MT2XzgOwuCy?vAVA&)F#UIj%AOh`e-(xL*!6&pFhOrFfhjlb{lL) z$t)sDcbO&6l*S~3Cj}=5_BOJSo4(iC0u?se)of>xvZEKzOSt#o>?_Cz=+Tw52jMYP)T(Hwclqje zlPCu_gSy~K5!_|ab7ktawQ49K^*kZ@BB;4MaboylqlW07sq)Mn6B?NPST=S*pk8{6$F(m4)1x$AcMSY{KXBEme zYmI8f#1*w;cbVUVX{wT^_u1e3cG}W1`S~%ddL>f1ME^Pr3^yJFVX= zVQHIIbueBqabT~9tLZa=Ds5=N*>2gLZ87Ah;3<`ICYIh&*nN$Ee{+!=19GLhQ%GD##2mj7r!lwkCrx@b&qu1YZ% zY>}QVCu>!8M{g?=3IJ!6f>-eX210~F!Mbx9eup#Yu5QDcICeYGpHs#8m#>I@)e?nE zn_5FU+^nu@cFyugk}HS+x^2c*V?;F7!C$w9oCFQ@w?pYCXOsbkaLMNn=ZZHSw4+7?>8Vq_U(GDr0#>5 zmAc@r`^eO3uC`uxzP&dPa%-3B{Nunt;m=h;*~y=CS4F*rA?50F<$EFy@o4L3kXukK zh=q!+13Af#;nf~sK)3<4x~RQC(#J1=I*o( zt~B;c?j!LI?V`%Mu!k!QsEdV^`>cL_<~ryuOD6wqXyrb7-_6U87TtM9;dg89&tkum zGIz8Km5a8>s!qgAsuGe!T~B#kDapQulV0hZ)DC58%~t8TcI6IrL2Qq!+y8+pZDJnY z=aR*qMB=51(kL_gb22I4kN&-#0y)o~?$hRbQ_~!&)bmf6C%C_nvK;aZLP;*^IIOhD z(<@U3aFkZIl|pcpY*m%`&n8j6e75HLQdm$?ZGrLfndA-KYQBJSGY$<+sseeC!dZ}l zb&$f5h<-}}k6xsAyo`HFFPig8ADT1i0GhM*AewVJLk3bA|K$T3HX^Z@%?y#4O+KtR z5{a2goT34y@MmiYtpf}tLkn7-|Ua>5mX;+UlZmMhqoV(?b?A)QM zPM#tD%CViOd%lxRhr#3j1^##jzx7&DJdd(Sa=e%MozbG5wzt|g&3tg4V9M{B%{}s` zr9g9hje5-C9Lpk!>wWU76s5W!?QKj9{Hs(Kc~Y9?!h9zA1`N)c=#GOz)1bg$<2y>j#nd%KUtES0h%AHnXb!O=ac z_pYkX9Uh=Pc>jm>gWqV}E|?w@#J2?N03f&DYL+?NpO;BE2hUvi-8*r_!83o9m=!1n zW#c`un50nmj#E<7ZjGrv9RAg-=z65Uf_D^KHU0WAD!tS~^As3yVQxPL(mvG@09Gjg zz`Yug*#0K!Pb51oA>94sfN7#et^$tp!g1R2>aDJxQR{Rcu<75zO21sLt3fzrt5U8g0T!nNf1O(B2s5$;o5RW`BUqR=pU z-d3jf#14Dp4IsU1c})@jS{m6zc*-blYci*t&O=s&j3nXqQ}mZmiNM;dLk`U?i9^_W zw|#oNzlGY6cl|7Q$<0-0w7(^jo%A9KCN!d(?yS|o1eZ>$R(_meQYo)vzLfVvA>X~v zi8TGrDH`F{bgki=pBE9FTq}_e&sEVU*D?um{s8tErZ8@r9F5_dsY8$tv4{!u6(_Em zJdI;DW*vpj0h;d#ZpoqrKNEyX3J)0Z+9Vy?;|?J(AM;Z*#xmoC_mf4Feu3$Xq6RA7 zhxg`j4D6W3Y32=_E+H_J!2Ic8{*n9?t_lCzdVc-aR+lFHlk4bfTj@Si^7Exi;)6R< zu5og^QNG576#H{Kqy9hM{Sl6+F!GGUG(&JU#U91C>nS~>Fzoij)nJ=_TAG`ZZg~ey z%>M0%=WZZ|jUBvvm+l24&Elp+RzPtQu9e+K`L4`8B+}MJWjks9u3&>rhNiX*{T@CL z-m2QSY6D6Sv@E5s)kmCt^6W7t!O9_9vs(;J#W0IM1Il);{9QrRgjwfICdb{e=!9;C zNQ6;3Ztc|xn}5ZWf5q;af5oPMMDo3N$w!<^wq%H!MSVphR`Okc2?P0mk#j@Y?$4l! z$Lj1qsAYPIr^D)yO5t|<4<_*oAt#6H6iM3%|AQt3|F~JC_W!*)Se*Tm;!TIxkviF3 zh9;d|&b5gD{7V1zOYg`E7?EV1;Y|I<%<1>izh6^y{ZDus8&9;m2pt_gSzq(68*bpo z^KsrB_0`&+&Ok=3UJogjaE<$*Jjrsi(Z3Y!Uy2zRMF zxw-+vdbzrb@AWVi%Mca}y(Y|MLZUoR*zBF!R9}ATMOwY8)0O#t%Xe#hU7@tcN^NaP z!f4ZWriFji%m1l8{!;^2K6x_huk_bnpf&t;44%7C!hIhtX=Pa^BgGYMVpVn+=g1Wu z^dA=fhkgIy-hYT}{jVL(e<*34ZoZ*vt<8O{G2alzN<1b+&`^>0H)7#mi>Xl~`xVA! zW0-pVKXuc;Y8sn=)v^Dn_Z!0QB22fBq#hYuql0X&oQx2Tc!XbEZAVV3 zkS@`$0x$S2to~ekB7o5bLYdT~*}B*ye~4bg>7~d5s9u%XqK8w(Yu2u*g7E39ot8j6 zJ7wM2#4VIyl-{r_qaWuxOkV6V$&yMB!m@d4tH}h3NG^x$8*=w*hBG$L`A{FO2{Z#~2T5YDY zM@pvz7r8wU8v>VSM$z^SX3J}(;}YB!3*z>dHk)7jF~>G>z((7o-lMz~6_ET->a-2! zP)(Vt{g|}l0)ynO9)^?U2oCWuTLQ^f)?86hPKrMsd5!XKun`m)`lA;qb(2Ztos)1w zv#+9ki1pX-SntAn#+XUVe3iri#&jrK^(-u(lBZM>c(j7&Crd4eWhs{t(Nmep~*C6?@z$(Ch#ekWKN701CNzm&I)%KWu zc|w70wY0|^6TB@QToHK?MDFw~mblFBQalxaqN;!PP2N%8-9opxn7oDN3NuxX zTMc@wf?Lzx2$Q>n{!Lh;18dEx zfMDA0+@hy6#Pz8?ODs3(vg`9R^&9d z{EaAl#&=`~pRsNMVidBBJ|UjiL=w9U(t_q(Eb$4rl06!{vpDBtPJdeu)oD({p!H!781oHLKB z%G?92X6IT(ESSG%ji-e_oqX35=3Y3qU9j+kl75HEgnbyW+JIs+>lqUtgFZy(taMVu41c=1$(vL;P5ll!}0J$#9#8Z zc|t$W3hOy-;lr4MgAf2^-HRH~jo(GKrlqTZLv&ZhSOR_T71=-n^LCX5*X z?;n{(PF(cXdcy@P-m=-Ww#JMTv)N?4)edi&u&-He$s{bj+Wr<2MtHB)@R}{E`C6Ck zk9@1W3F!9MvkrNcFtEzy;6(M_in2&nzFp5*o^reX;AAe#tL-=|uQzdMq3m2!uJz8V zZ8^S5eeJp^g|qF^V@`N3Y$2;k-ShhPmnSEoIxVoO`M~#T`TF*I)o*q8WV#4LYvl;8 z(HF@CzAO1X>{o8lN;jZfW zD{Zd)ID|*EB+dYu@nY#S-K*E}jK;LCcTLSee0xCFv2Ui%c|5Vyao#ub$ush$?7~Zl zO+>ngqJbjix4zFxi8~3iZ6z;Nmyr^hVVx35H(hNJ<4=3a&w~yAhF%(qR1rmAKOo;* zt~BHK5}g&qm@3PmG=dX0RV5V_4@z_lfW0x95p$&H9TyyQl-?9GfI` zNX8Wld&0O@3%9zc1HU%^?y_*ZM9K*e+|ef)Hz@8Y;i4_tBJ*!P>yM@KM^e# zK6*X-m*>WjH_9zPD820fYa8VX<8b)t+|Qpb`>3*;ebprRfe28@uH;gcvX+eXxx`+Gm9v4@zMA)#%XhfnhSZKJ7L|aLZTxg}AtI+zlf!CuFw&AYGb=-hg z7K^6(e0xH?>NkLqyEX0@^<3-wL=$6vO?jOBd+*`H+BjO1WA7w`%vElAWn6gx^|u4> zl1lVycH#S$&`|YK;U@z2+coqvsyKvnrKsj*r}QRy(jo6mR6$wNDZTYoLqpy4uRz_42895JL*LorD7hlDTyvK+$4RLhLX?qJHD?);3(z61mK@n zsZRbH@4Wc>yRcGqG9qa>MXjc})WQUrQ@=i@=+s0OdR-DF2&y&dEug)fk283kIi&8O zjyb~((8>R()g__K&6Oz582E#rB!h}ul1G5ijGYgWE~Z9Blfg79f?py}N7X@@=tuTM zG|kgN1`(>@vW1bO38!thY$5|vOsQ?}K+0Cs_@Th9j~J8bC|T^D(dqD44#(YkCH(lH zM@Aq{zkUPf;6|Ew(;%TtbGULbSJKza@xk)1(`cWUoe~q%?p|Rkxqt4aObmIC@&8l- zwYm+8a0|x8t+m!Aba3F2ZQky~8@x@VQie<`b<$op)`~a`Mbt}i?HvpZqJQnzTbY&h zF=a{8D7JrXKcK;JTD`kYTclW+ot}`~QA;%2D}c?J$UUza@CO$uQ>X#FdnL&9!tvtAuMqdUb)hSlQG6Qc+#wnsPA1Q2;=?HUW@7!P#pwUdN-h2>(SswA;?w|Ldv)i1a8D_ z^6vE1xLWrEdnEdjgG5yy)v2ImNar-0)+((-gYwv zDLjCIwb@ddPfPLi(eO=uh0!_G(LoT|-_^SQE;*XG7hV06$T%_>MRY_DH6MNV1c8A* zUZz~7w7yHXQi!6O`&&KsrLSMWF${t5GD;R3?W!BGI} z5cf$MhO&ViW1#}WR$@LBpln1Ns>6j&PqbEQ70AHg@2HSYU^KXEP&5D>9RvIU=IM;| zudNK}%EywYg1!OG#BBJ%S_0Mw6ERRMPza?jx*hW~>E$`9EkEd<%9jnqqzWM&tWtWc zqGDTVd~0%nV9OG<5e_~Z%+3V?#eLp9R=lyZk%U<7PWZK6i(QB>HFifow&QIidI+LC zXDveg1n%4uIzI1-hJXtPeQ{GJD1G@sbU9hkIr&5_#u`sV7 z+B(|+36NPazzgx6hxtx#Z%~*k!?3r)9xN-Y(gKxkUeAR6(^?AR0%nhrg zDEhFg;)6Ns^AUW)-h%Ox?qviRS$Y2WL} zPa;y8t0UH*CZ7N0)5j8jF*Q#3S^1C)?h7KQpc zJ{V*;zG|0+Y;8V2`r1f?oXaZ`F*uA1h+gyu@?vCbSdE(!fF)d$8V$KVtXzbol#9@J z=Yzu6;km#A`P?3ZKTX`6phVK=mxr!Ki;&9*Xa*;29|A>u639!bkfk31_@fAXPAKsv zvkh})hi~pQlwu9>k&Vh19TXDaKx~B3D!F*xEh4CE8)z3}oE8E4vaanfQv}SVs6EQ@ z*y;QVa>$|Fxm6or`fpnnY^xJ65c$0S@zk1+&WHSlB?pK= zj_`tZC_A|#UL3fN>_LRrj&RVnp$}-O|2w2o^-ecX1xQ=7cXS?vckdA|P;+ciUZ@U9 z#i67(74+9!36H!W@=b44r&q1n0r&KvmcV&)yHwu-g*x)JB11#0>m5P z_ZTOmV`0O(1F_G>ns67sRJihvt!tcda{^u%@kVHJMqD1g4bF+?FhndlQ15j!+6~TR zyqF-LE1d4n7n&RZGCAT4(P3^*qu)5n%ezCJNjAT6L}4-zA!Wy#M^dq9xEJn2#hit} zc2XxI6S42t)g2hA(S8(&0=TVjcNgCIiX0N>9Qb7+4;PDy1z2Ob0XoM^a|r#-N_T&k5fzip3vGwHmC++-dP%i)K%UBi`ogU;gxqDBa5-t8_;+JG(KjjI$yeAx8IR@IiLbZF^~7mF5Ftu zvV&)V7F&&SeAxSLQ}WMtkB`Zl_Ct?^Xd`>(A|5^U23|oNCDGX)UTE%+s6agjFJX`< zN_1KC(*WA>U=^zEhsgmk@c?-^;V>|13^aE8EEMcZ-9dOr9d<9Z>-$qExeqFHwH^V+ zj@cl*c@7&e89|Lb;F}CjP=-zRZaO1R@~V}HkG9kk8crSC&Q!htP^+AK)%F$Q%f=^& z*rYA}y7(Yebe9)m4b~l$M{HBRDx&rk1O?_mbKS@$fZ`DS zNj9c+s=ewN|*MZrV|YXw}^?tKiTx{IK%cMLOFJ0F{j^wI|!b2#yg}gll7tb-+jp*%T#?B zKmqx+ zKKwq39Z(71Mub=3g3}PLlJ%@(R!l+oisG@@@L4RtmBj4=)t2S(F{d~%3-w8F(ouDZ zE~YB~qff*k9Z}B#y~tuZO+}zegRQd7fb~AF4MC6E$Nw3(|7Xvl6)pN|@X!l}j^!%6 z0NgU%8R82GY)WiGtwX)h&QTVBY%&u(bQQ;j+p~oCqS-WOpj9CbseV>C2?zWON`aLK}e-+0FOK9WhZ14}`x%B0vwN zndBxgr#Qd|?#5~IT5Q2T3-d{2l1qF7RTtd@{*WPuFc8*ScsIg1>H(~%Z6vS&T9cAF z09111J(6QHJ4|lCjbqLQ+=~$X!kG=qp4MTqn3>-?iU6DksyVcn{1 zDE0^*L(F;h_rXrE%*FP1csVyv5DB>!&1ne`!Fm!ef!iV5vl@t-E+JX7G!Nv z5(4$LpyiM)fAPv8;&`hn=4v)9Jj)B8px9?ZDGIoPE(M*6>CmN$ni@q;at)0`Z9n74 zz@C>GQ~R2k6e|a5JAEcfQ|6RDNqM6%gSU~+xjl)yOD`b{Aw&U@48a6rA~>iR{c+B{ zIooiyOLmW|my7qBdQ5lycdnsbMEAKL#5OAjT@H`~TLySy^x;b*T^fA;`6Bf() z97dvyeL#rp*vws1py6-OylqerLJBElXF_!FJjI?MPF)i%6Ja;ITg}ycxehtiEw;2A zxHQ%)TJYbRLdD*qAlRVA+U&+^dFlcr*ip5g2hV)_ff|SGgv~zq`$PI5yV#xNq%iyr z$GR3Lt(wdxw?yE^KAsFWuoG+QWB*E~Ib#uUgP?Pno09wm&$6mBit(_VKLbn>kLkgk z6LIu{zJFbm$kA8rtr*LpZ_!tjEd_RC4JHVANOSDEV^y1McyY&_O0iN(#tVr;9RB`d z97g4&bCm@0z7mo;4v)AZ7jx}8pUik#g*>88l-*;?S4~imP^7i#yLdxZkK}Ar+6h(} zW}bPUy%)+AIP0cZ?xq7}7G6K)5VL+ol7PI9sC>i9{Md$Ir+9x4KLC{av^AAt=MRC$ zY7YKN0HF#390Ga=)}d0N18KY+txGS8KKMBb?%7+SE5UGWAW>o?aBN7A7Ki0 ztaK`ik6vQHfS1@Vh^EB#n~1&|jrsXG@Ec@E7*Y5J0mG}d9KHnL5Iub&Knx}{@g-z~ z=;?3cwiSFf3v*O_YzruFB>Hl}XmaAE>P@)S(P*^Lg6EY-LcL}UW zT|XTBK=X#KlvdfC&HO5ub#KF!OLU#Cz;}3L;cd+9p2>M-=Zl2nD;a<>Vc?$gaU;1U zcUfV}AzR^T&W4YrZ?3zO?Z@r{+*iR-iVTrDzlkdnF!5GNbgAw>bD&V!BZ1>H7Hcc`~!p=$(_5Q z6bsjmDF(&|6*eC9p*=e={MOe-b@=$4x9{_TPK6#!Ef&t->%;c_UGe^T1|nW9^#_Nq z2D{>71g9zZeYs|MAz8X)9^Uqc*l>NoiVAONsizbc!NgL)vV=(|6xohL8cY@Ai;WrL z-j)KjIqmTyyongd6!yTG@b{`jkC?c6b{(OxuDzJ&8h=`yH>*%8>YSQs8FlsR;=FBT*FvXBlG8Bj>1;H5% zXuonY$VJ~|M-F0DnPhmhJ&f2-SSBQP!%z?@>^mTq3JFG)LNUX}nz+d3Ikq2|n>P!0 z_(lEABHZy~e(>x#GIxk88fiu{HiL22MUYp_!BH}Nu$g$15U=I9m>b* z{LC$tgrqf;6_5#2zqC4=o{tb9<|O-rt@%EC3#y>Ow4`&!AkO4enE~^dKsrKFL@+ZMgAiPX-rHhzysPydxmYsJa3ZpC$^L0fL|X$(!JDo6Q;n*{RT zy@`fj-(U@yO_nIWH}B_e__^vI!m=?WW7-XB5R-LHEg zn%g>WPo?~Ro4(Onot;cUg)<=CsyJ>gHP~s|HjSVEm6c9{V#bQOSWy3Krzp54uBVH3 zY|ggbsFLaQgQ?Z@aF%ObvQrS@YcdR8i^|{QN(1gUPr90r%IL)BkEb(Z8<~O zYmXRy=XOg!t?}gIyR{m!mdJyL&oL}ayof6V@B#?P>?}*@F4p zttr52S4?;d6nEr-F*G_2EeI(W$9uEYCs;w;GH{NO0vCNFcM2qcSG9E&&X&n%x%rpk z2I);nLDhGYiosmHe^PE zh@Mjx%cTGlLSv*OJ8&b$<#_FuOckXIlV&|N=Z{28JuMBs#$Cc$YZk}bR3@e4k=es? zN0ne$W-%oYH17y<6(nNn!1_h?=SF6uX06pKT44}V{>6CAKAQGAQ{vX66W%m4VvFk9 zJpQ+qs0MUP)hg$pgK3VIYBgsq^~0F(({3H_eZl$WZV_!OLbbb3`D-CF1%_DUUtd~OA30*}@EAwh67R5C^1V-=7|_5v z2c*K5)aP#%oX<0QCJpSuyLxg(rIyPfVoRR-^BFuH@H`>QQCQ>F*$X@-cI))*id+ZP zMPCR;mAg*Y!S&ZR#wwn_r;pGtg9ldmsjsC2bsY6k`>|=(l7Tp=uJ4CdlQ)KeEC478 zAA$fD_Ozq`ww&kSOUc}Xgj*PTSlqicXvFp zc{i<&hTc}e4iZJ9w!ODC=iFacB=ab4Y^NuZd713~Z4~#ErAqcBBBq&n5TRu5dD$wmAG&^)Gs z{2Y2GGKHeN$1c+SOH z9Pzgv+HA>F#n)Z>6$YtM#rGz{w?-ex7)j10#G0>(Vc&gxi*z=g0}yA4_$JY#!9;>3 z!ZvPc<1EgE)?eFDb1PpGY0G+tJ<3)&E!tRMpkDtmu`Il7nvnQ3zt8gR24YhYK4x=6 z6QiQ%cYx&=7U*=GmdJXXR;2_Bviz3?#IO#8-|_PJQa&V~vrw)c&mSLD`oR3xD4*_o zYa4Q(s!HJ}3M!I9&@dKT;FyRENc(buEaev; z!qD4bzrxqMpVrlh9xJww*MS;`u*A6tCcMI;`vx4+R}r-`hjiWcpgdz&O!S$R-D!8C z9z-y>u;S7{ehlYo!b2gB2D?DNUSO8?H?5t6FbO)?vDcxRkH7wlg5QU$f<&KOe`8X@hc~j^`rxqnM`MY%P~=%(1_K?XnkKzf&s1)I91d9Sk0b zyyLR3+f^u8x^rj6e`3H>adB1fBJ%3@lC@Q;Uk`wf5RGrSv7^f!L_zaQHH=G74?AMp zRg=@l&NS88a4v#_nJv}%)?Yon$3J`9xoB(OTsF^Z&!j-oU@vKAdy?N5amVxFj4W?1 zBnSHbA_LFtZ9=uMAgK9?c&{xl=RPLLjxoDJuq=A*uwJJ@bzg&a_4#+;R@mHT7`SfcELT3zGF6b&?y^X z7y2WRAs{+UuchLZ-XRpwdBA`Bda4mo%j&zcw0*8CLCCr)+4A6Pd~&d=7Hw2ri*sYp0t0qdu?A(Zyyk+K+2?LB zVC@aWH2Om%+)^5R-F()RZKt*`_AG0}d^*@csC}eh1^Yu^{Q3Kkz912d66oP^_-G4A zGH7Q#v@iF90ZTU#m$85RyO<-=cY{J6{vfHlicA$U4+r~02L9acuf+&jqhE{n@EFUT z1aiQyoZPNIl7*WXaVh8CdcK%A-bxlVcwrJu-gOT~bq~S^MTlh5hmIvlJ%FA^ixO6fP^8Sr8GW(glBn1e)`@H|TJo??^^C9dmP=_@Pn&TjmPr*l zRA2jQc^mhP3cb_|Do#2ZN>N?zW>02NQBlm~Ny$nZUC*^`vvJz0$jYzZTAm8e0lQm;0WZ4hM3)}Dg;>nkB2x;L89}!U>5^=dY z9FCvQaGNGMB~>mDm;BuNs_@au7FW0#w)^#Jt{<&4Vvfp(=tzQ3if(^qoXc!1HJA?V z0CPM$+RS?2U6lrn+%IYa@%b8yJS|)u!wd@x8+6vRm72c@NaOIhywS}6eISd?%8SgL z6j{m;bsA#-?Sh_N_FjE5VnNzkX<=I^r6)b8y%_Q6(o}79(~-$XEZ9AD{UliV;BAIw zV9Gq9e~Vow)zbW`CZCvZx8d<*IX{>5O7SP)W*8E;V~s#BJ4HV{R!Pw5S;P9FIsqrs zVR#he4L;s1w6QPO7bPJHW1Z9aTWY{LPGnJhOrt1JgPT?bFbkl3&U?4wA6s1i(Yz5S z%@;op^)p7;aw{<+m?)fM3GaNYw7LW==4-w=n^$om&Er1$zMvi1=*K-;UUKdM#zgHp zlV6y)=-OjQXz1=>8bbP{l_nbnuJ5a&j$SS{U$iE2H)(1mJGI>D>37>aL^ml2+t2U7 zHGay%EiEPt9p!jWO$fiF(-xvoGsr*SH@-#|Od3=2`Gd1T;l0ce-1m(D`~z%FSlRJT zRExf`7Vqg(j-#g+@V$>J!%^9Fe$d)HT&8Q?>pA&hHlgpXXS)d9LFdJIe&|QVNrBmF zSnUmDV5d&U=L~bOr#~A-@i=`kICXE+EOGk9yKvGh`D3S-9JViZ)aCYSO6@U!M+V6S8!A+c{{eY#lMrFtSx^W54jX$BkUmknA<0s* zvrRC2)kQFC|7A+x>0M(>ojLiojveuFCtd@AmlMM;fvl0^1Z;fOk3XBpoRP%cjjMsx zMoY}(mGq$Kl{y(lxP9|xDwsgC77{n z7Wz6R+WLL96u2*{`x8oZF+creesmMW^3cK)BHTt^#nO z`}gweE`)!-fN;_Dhs(i#R1W@VIrwAc;OiBSkH-HXs}kI}l1gbT}U4Y*IJvf*E>#5+1J1{aNgi4yNx!;9fX z>$fR_7xG`K)X%t!gc7xwcwxPl6%jA+FIVF8SWm!r0G#S&yM0V~VY?lb@PciB72vr& zr!Ug}wdKU`TtvKR{XYPYKM&hIp+{Y$d+qgr@(n0xX^tv8lG2rB)s-YfB4(9@S^Xjno$d$>TlYPmWB>_H?gMoMTXtgEIc_Wjlso0XSuv_A`)KP&8T~(aDm=k z33n`d#dH_&Hvo>m_)yD~-4~(v$PJFLwLO*M33ttuEsVa887>3_4#9TcZCLiW8tYA1 z(x?p&;``@=&EGnbSdpI;EKT(vULP7Fm#8Qu4n_xGPd(K@)D@vsF2+kLB+`6R%(Mv*tH&7(QT}LZQFw(|4+Z`Pk z=wpyp=ux1KR&+xFv46D?cer>{vgcAmJ=M5W#7#L2&*w3BaQjE9(o}>==}~}@@{a;_ zxFM;ioq}AFG!SP!F^kPjGTf@C>Ih!elkp=pcSv>i8(@nw%3^ekWXh}Qe+Nrh#kL8whm`&0accrPexFLVF zCH|J$@>gr*lwzrI`vUBS5wT*HvPa5d0pmuT$^%Owrl-z?W=P2h(~5p^pMg^6m(*FT zK;u#qH1m0GC(#X_t=%BHAy8}=QHNR6rv$`3J@?e3WZ$OFQD)Vp6wiM7)w9u=FsO8A zc&m2YfFVnmTf0*dBK1f)jJqwt5ZfRW3+8sRQnRMAL~16TQvGP-Y-?NLAA1{1NGa}a z2ue_YZBui9kpO*4~=v#Nl35>i}s2*IsULxu^lv2(YB?8FVqD1O~H`Q~gahqDZ2G|Vi+>MJmJ{-&R);~^6+=z(7Z<}0)Ha5An z+9K4#`y@9A-jRxTv*3*=-fe<+h~n|qn67V{;_>}2%{x%>9uz#jJ3+aR2p*q`=Y5(d z1aEg$j?ZiB`gT@4K1!^4+biBi!P`dhXiHsgvf^zcczn2)y6^#8UG8bc+gb27DBkXZ z_k`l@BY2O1$9C{3G+iH`?4k@l-KBYa=!m>&g2#(;@(vNa+Z1m^@NQPTBL(jU#akqJ z*D2m{f_IhTtrEP;6>qKJT?iiAakk){t;$^}cxx5!a=}}rcvlJDaf)}H;4MMi$Vn+n;X2m;F@NNK){<28$u2bcX6TGVwZRrSc&ileD#1HW@valRMT&QW;2jAb+i|ntji_?B3Em-! zcemh8Q@r~G??A)inp`iZBV@31@8&P+eh#oQM`7+dr%KsK$XQ3KQaghtVB$-pIqhMuR2%4T zsYKAGd=3*fe`Bz`U90^BPPyrfth@4@KP9BRogG|I^-bE9Wo)`h6$^W=l0x4J9=2?` z2YIe@R*swF9F=lhoc7{l|M?kn&m_h6CK)MB3oue`Nx4*N-FMxl(4&Cr6(sJKTd+M+ zUbqFfWs|6$8kKG-Sb1{z$6t%pKkU@h-P+Am!frx6mAobYgq077$EFJI<>sA|kd!^J z5Y((xE4Hg<1Z}`h2+<{OP0oVBbAZ8_dr($XM744{C*x-D{tzGf*lf7idfBA~AJZOI4cEBUMKV(h38mDorthb40$r zEnvb_TT*qT4CGcUHEvU<*VI)(N{^$RC*Z+kiT#p{R@gLEM@rLFiN7VrY^P0Atvxac zN%ejTlJc?Cq&M{t${kut;EveYn4C+cq_l!jJE z00960cmb4GYitx%6ux~>pu7r&((){YVp*$}M;|P;?dU-@V($a@ZWk*asi>G{VFR3m97lbI9+r^@yeDnyqLSHv*E(bJ+=yRPH%H zkpnZ1g)k7QNh&uZ0Lf!-!Kb%Bxi>h^fy{RxCYMoLK5KvvBUdJl!$dlkH~`0*B^g0( z&0g2Mos z0lWpkb6oJ)%-}iz&vn5qGlRDQxX=Zo3p2P;U)|6&&jshs46X&u^H~vqo^H6=2@`2v z3^PW{iMnaadUye;{Ac%_GR(;W7`Q40g`kBjA3i>_>-2t@NL9T93UbZnT2!?IVJX;{ zvdrtnFC?oz(A^i`*S)v1Jl@^kw1^DcZhZrDL%NC`U6L8pz?x=BipGs1s=(ZZlP?L} z(o~$uB|{F`Z9KM^eGP)R{p^M@h{|~wi(H_o1T~dw))H0QO`H zU{;Oj8X$|=&j5LMtm!WRrn%>qv#qF+@KW{^$UCl$uC8!!%VEX> zjIe)){^$}p7F$m0#J3W{spG%r)&dZ*WsXjjt3Ga|YhBKs0O_T*Rg2zp&?OGqjA4JK zI8aLY11UYI7!#eEmNJU=mNR?Kq7&49VgV zuKBqpE8N^jF+~_YsLJJvM3iua=K=N|NQSQ6^`b{e3BoHU@`$R+;)-SfV!*Sx!YzNV zS5_l7RIC*>r{IiDWazm~bw@xIxhKvTIjqZVm^lwC0=JKT^SOoIV?acQ?^MEmSu*^# zDrr)X8xLkV*aGOO9n7q#X<4m0L8CwZxlV*e#9A^13E7EL>><_x_P*MAY79hgk^ZKMmF17kJT{X+8c3ZR_Bz``B8fRuEDejEK614FaaJWaFU;h!h4) zv=H)O(!42$xGHU?=pXZ3cn5$~i~_An5nB%=@tDsobVzqio^;!D6|BPWPKCB*W$XW3 zU~VH8IawXQx&kz#_c&7m4LzFWel4Zu)6st?_d0NKkU;H7beT!qGV16iGwZ~~U8oa> z=qEpKS7ct#4#Br?f4hDGCXx$9ZiEv7YoLIYU%!K8$S!~;1gd7xb}c4=+iB~uUtj1y z4+^#06lf#COn?DTz9w^2`pDMEXXM1rnD6<5{*O-IX(UPxF1}A ztc_kOKp&P8P`L3Z`39fqL4{a-k{qzxB}0*Xz&CV4;9a4K^nH1}@kL==bTjdiq6PHk ztgY?sa!1s!BEipNh|EhrecC-jLkQNebC<_hRMD;!?O{cKK6^3Al-2++ZC z_{nj yBUXx7l&qBWn`3KMQ1KQ+SxWqk@U&4LZ#JLn0|BL3R8~YXAeiu%4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*GM~00000003|f00000006530000000000 z0090E00000004La?A!@>4d?#A@%J2&h$gv~T6!sm1ieaWio}+4T&4VLtEJkYgjixp zkWi(SD6!YR3yIbqTUFJbg9ugBPHjPxSZWC&Vmb5PdCxtkJ-*l9_T2yT?{jbFdB$%( z^LyX-%)Il?WRjV~O$gzo&(29L=W)yh^k{G-)Ot64cGqXMtfI1Wy^79dZi=M}!CoknAI=B6!)~w<7O>{*D93g@ zf*-&+a5j7gz71!>H{fgVRroTT0jI$i;q&l0_zZjsJ_#R(kHUxHgYW@(AG{ad1E;{r z@DA7tZ-ckMo8S%bdUzeY7G49df>*%H;id3mcp>~XoB+><&cqBXm{t}LXhr*x3gW*B&KsXBS5BG(`;ofjhxCh(~4uw0zo#0R4_HZ!#5&R+C z8g2=Weh1@?qJ;Jk1i*Z@mxj}3kZ--qwPci}Af7JL)F4*v#UfiJ=7@UQR% zI2Aq%pN4;dPr%3EBk&>kXLvvS6Z|8*8{P%)gtx;<@K$&;yb=BZ{vQ4g{uW*huY?of zW$+Ss5&R9j0FH;}!E@o+@Jx6*JQbb{PlUgM$H8OZQE)6g93BQo!(YHd;LqSs;Q??Y z+z*a``@p^6Ft|J1748Cuz#ZWZa69;8xGmfUZUuh;561QXbGSLm`{DXs906d7p@Qg9j*skU^5&9*M;lAwc%QDO*jw^fNQ|j;cD_t%8{qTqA)gK3h3~-4&|Y&m6XkEgtx^6e@>k%? za68m*4|jmmQ9ljtjPe(ezW|?yQ{i4{?;P@H;WKc5)Q^Ot-~sSJ_)~Zg{24qL9s++3 z55@LJ!!hs|@Ef?^4@3SmJPe=zB|IE1jh}}CHo!(W4?F_xyCI(!c85LSShSZ9c~3Y$ z{0cl0?Rg>Z4g0`izx@Cvvv zejaSY`H=({L3u0k1&|+w&wCa5U8w&E<@X~06MPUp2A_gc;WYRPd;`vcb6^{6%=`Eb zdB9$9LAWSf0ye?r;EHe+xEfp&4ub2$jo_wmOSmoE9u9%aVZ3xhz6Trz_k#Pt;c#EL zA3Om56dnQ(g@?hz;SumCcnmxi9uH51C&N?W8SpH44jc#1hZn$$;KgtvyarwiuY=da zUp{{NiQ(`FI2IlWSHyVSh|k*uZ-%$PXW?^jDtsQk0AGZEh11}4I0L=}Uxu&1SK;5_ zYw&gW27D9Fgm1yO;Vk$Ld>2;l$k?AzzNR1eHj!QXo0>#)35g1e2shP_2#<{F9}*TG z6*=f>$x5br1EL~K@AZ!89Map=Dy)0B9$NH|=o6uusbmTX4>i5pH8f0bwri-PSe2Gt zyX#54U_^gY!;r`*)9)+l_m1e&%hWi$?|>*%i?DFl2O3@V%~0E~Nol{2eNt5S$2Lb^ zMde2htEl|Y;pd5}`~ydM6_xKhtfD$U?l}CUsLE$K@+zvImzfSfZ@lFw?~JbP>CHXX zzVef{!*%^#M|&?D?>Xu}Z_II&S5X}U*W;$sKB?_b5r0P1aNiP#sedj&PlcL%N z=Q*OHvb$qLR8(Uozr)WPJsstp(Zk`FiK>q4cvek4%^dF>&#L~@#<$A5j(62(xAi_y z>s3_kIme}n%1;~DEbnaRMdGu@_p|cP8u!_b{d-c>Q}Suo4V8C29?GuQBj>W(Up1+` z>Jv3RPgLXA`3&HBqH5oDoU8h-<5}gOHV#!j-#<4`sHnEzIgbCg=vrP?rCmQ?t&!UU|9L&2PS$@t3IFr{r!ue~nYWwAHdi2WbVm##RMf*Eh_IuUXvakQ>dgr1FXA zVlbD8)9SmmGsu;B#KM7Rtsw_Z=8*D>v>?w~JJL_@u3N${aEIED^|$|O{wPq0Do3QO zJz-UOR0+Q35$8dO7!&q6*0FgZ`MK+kL-|KJG+dUQ}+SJ-3yHpDhdlw3k_T652tyOgq z`ok`nm?y8O{Y{QEcJdIKelBE84G>GC>sk*S+GgI?Vu!5iYY|6_@0MN>HN@)$_sNZg zA4=myoAhs5TZq}cM8~Kc*=N%Nx%=lCV)yKYNvaQ0)+L+k6txIEJ3Pgy zkZZP#iw5Dpzo4;a2_cT^uS)kVdsntR?kW7LXUh@#Uwy;%a>w4YW*!P=tl*^XOGM_u; zWmU_^OT?HfrbXMAm0x7C?&)o@1WbMGbFS3MvJ~6tWnb5G^=B6E=I(NgA;$WwcKgds z<_V&~6U(i=A8KjlKKHTD`F8v$OXijhvg7(B`}&b_!ZNsve5}lngmBCD`;BFC*Z1u0 zhWGHbOqqG+u`-1gU$ETxxYY0Kj`${84p-`YO2HvbR{89BA*~9Iq7fC;F4SW_r2S<%O_}%96%YQXX9IEwaB4v<~gC+nhYr zCa-Ej)X=|X-K6eq*>Z40Yiqw0^N|i&GGM)zShz=%?Z3Dq6Q+6D|7VSS`nl&nB}>Nj zY-qi>VWU}nTYO8Pmzbn~jWK(=CVh$v@nvY1wE7D%=ctsvjjzagZoZ<`mSr!ehzSq`;mZm|Ij0&_+h38b((dC-#Lk6+5o`i3z1cwPsCg zY|r0ztGRb-uxvlnQ!H*YO3X;sACR_9l_F!Hb-d4Vv(~S;7^q)2uQteIneUFmm3(%JW#v_B{XbqqT&smku0|X2V}DM#r3w zPqI{u5%t3F-8)@4+vB2dQ6X+x?_2dTBSJRE*zcIsvWR~-+I}0AFS+|4w^2E@XW3VN z*e3S(mHz+$0RR7Z0TN(fWSGIgz#ujA@dHL6%>-ftv8Rhuyo+OqqmyH>YkWYEXP9G% zD_DSuK>#RyO?cI1AjQB7#QZ=EQyw22;veM7%wPc&TV`Z;kQ+#Yj1_~b33GJ@DgYY< zQOd$#0F?TfU$!5l41^`2N}c@ued0mtkyNuXZ~$dt0Hm4=h(VUSdAj>Aj@9P@k;qSr@mWx}xGz?~`I8+_byPnQqmjPAVWagFFaWH5A<-Wvk z{|*Woka~5fS|5LZ@6dpFU>Nzh#)r822KWHO$fmd?#mcI;bDp5t+br{#1cCmuxjs=G?1Ub9^(Vj z9>B2lbM$qMcMtV+;bmX}3LTwm(+E?-3S$<`00030|398G@&Et; diff --git a/firmware/db/valveboard_firmware.cmp.logdb b/firmware/db/valveboard_firmware.cmp.logdb deleted file mode 100644 index 626799f..0000000 --- a/firmware/db/valveboard_firmware.cmp.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/firmware/db/valveboard_firmware.cmp.rdb b/firmware/db/valveboard_firmware.cmp.rdb deleted file mode 100644 index 57d7c78dbe6c030ceaff007233f61c356a9fb72e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 15741 zcmbumgL5a(_dOhMY}>ZIv2EM7lMOevZQHhO+sP}oH^1HY=lc&l_0&xDJ$>$}JKa+~ zQ#Et@q5=T{Rl@-PZGnKS{~oM=t(vKmv!%TqAu}UA6Fn25kgKJQ2_XkFBOwzL!{3vc z@h?=CB9yUoF(ni=v2^*X9a#Ebh&G=8A^~B6{?Gnvefj=}J%BHLH| zhk);M2xEco5sv5tb`l634#scX=~eYzSI@5j`0VCh9|oMdt^l2$hwK}-9_NqfkdTnw zkzJ0v(Ym&_TkqHMCTxyouetK(3;<`BrD*o9z~!vFU;Us+x1r-50SN%%_GR={neW%n zzK_92T!{PwyBB$X zxOwft=ZDu#>8!e-3T|&mI<}$y;QPhJ*lxnq26k{;xLPeE)}|XeI>KPlRA+9Mxw&pD zBGBZPA4oZhmT#L;R8>mRfS|Jqr7Wv;*SIvaL=3oLcEdBI5Oi6&k}TVXR=BR`o`%}G zBDGkn%Pw0s1Fpm?b~-9lmrYwW$znDEf^%I8)ibV`U0Hf{exPKS~q&PZ^S-uQ)QWD zu4v$J+c0$giq5?$tg%B{nMvtYGT1GHr1TwnuTAg{M|+%9|3H1m;I#Mf;AGM@enA zK(iO-GPe#S2VcLBJ^?u=0j#KcTcQIV0W%B*k!JlyW2-AU6`mV6Ek$q5@$wTwyc3??1>kM?XR(~1T4u{yI;K)b}gn2uEV*CPG_XjQ4uH&q8z_%;w zs(Vd7Tiaf15kF?V|1cxZ6q;dzreKue!7&8mEyUznl|7B*f9v6HL?l*rX`0Z76RJr=7=J&a4tIyfVRMTq=YF<6(gJv6HMW}VQjBeKH z@~cv`%4&dnu(!Nb231{a>wH2KaKRf~i}U@8IwTkcYC*o=U)1_Bl1Kl1eoaOEK5#%R z89LlStQlMxI9o8Lv2#6m3kzX+J~~$BIZ>>_s+n0G6DSG2Sqep^WL+Wf<@0@%&R&r^ zu7⩔}NBEvtvPmQLZcanuLWuT9zQ&s*2pMedV_1FKUI@;@Xg_IzPvROc{43%x%P0 znHegD1;P^3lEEo&A9M<##UOTaLt?n6Tm&)B^hgmA{Hfy^X-E#G zXbe`1Q%>g3A?h4Vj4cpS-1G-#%K+7_$_G+RzTb}n(bSYpwa324QvS4BZvWecg@nW$RvcI}#5zw~TD9Q#H3Mb`1pnu3P6PRQ!k-xADFg zl;yqCf(KF8ohFsWO-_VD_AW+S&eACTv0=g3LEqoBb%t@J5UI*&^t4wz%$8>zLA6qg z8kr1_Xu8XKp$?ndJHe0FmZ>m>n;eGCZcqgH&|pQ>WvviNKd`0Z|2LLcDW zPwrZHu;U{&nLC27q`*ws0=JEHmSthsO&NQ7s{@o_6|1e24WX>b3`*W9qo0|SjbI_O zYUIAZM`hAoAba%(k)VQs#Ttce6jLN%^Q;O$flzl$Fx*7j7O$6u4{-2n7yyXzM_#*w>gvkXV*@6{ zKNZu)CU|H?Vlu$e{1ATZV>&UKw&+1RidFa|HV-YTlD>HBseK4zZ8>@MAh?~Mq(~tu zJ92^(IL# zr#T3|O_dF>c7U+Eji&SYyj`zhjEueo?CfkU|% zx;zlT2Riaf>b9n09@YWtY&R_q?@6AL=y0*^@jM2a+}KB@Vu ziAj78R}iY#Uqor2$0LaM@V@l$HX;Td*0}u$>;k!hBq}u9uVEo|_{x}6x3fG{zc@l_ znOYBBvGXoa>&+u@HHwH$Jwbu&1-|O1dTDiLvDYg$zcS0oADu7J-%8{-Ha|TFGQLUv zL_L_dh7WULP@-CE)SRoG>liytv;|ew9uC*&sfe_SQ+!r{wnAgnov&w#Ls!Grok2K< zZ!p~7jkB$knE=H$9K6w+ru6w%X_@UK_4wiYQ2YVj9OKCSllxK|9QQ= zzdvmH@f#c98xJ(p1gkQ2W?k{KGS|3}@;Cs`r20$|L(+D`>=-XY;-Nqg%dNC+xA<`6Q4eMsM`@$oZh4 z=Sj$n<9p1wm7J1uLPp{eVSeVzW>(<|F578YmktZ%*Z*Nb+=T!C{8RHWB`Yu5xQpSSq|7VLp>p!w)hV^jRU_*QF`uc)5gv)nSe+P7^cr&yZO& zHeCL_ywb5M1$!D?KnOT`>=u!M#xHlh!PD8ta)?unm)|TSas9Mx_S**1dHfTQAqLjQ zMcCDmjNRN&yC)h>X_${ZqYH$WZT8Nz zTr#ll*~XTLZd`ud z8v(W0E5y$7RyOZb$^v)ec?9BLMMswJtu*VfdeeTvmg>Tv-R!(%B z^RY0JMaq%7lCORlPd%g0lpfM+z*2?}&MM~JqO_FiN$@CGv0&eqPj#>$_cZP~yCU8d zvZn9r6ISQ@t+UY;f?ob*9sZ)fxa7tSdCrVVe>1NlOlxZY87u%aHh7_Q^S(|+{7SPoQs&ki z6nPp26AVPO+~DIV7SWO+=|ctYV60n$4{jq{@jbLB#yMI%;0q`e9 z*pR7lTUo%5C%i*K1HB#2iS!;`r6_YUJM+&we~qlDm(ADoUHS{Vi9XNRhfPzavFu&? z%Ged3m)6OHHAT8542-5VC`S}#JK1q>$O?YP#D)Iw6nF0=!wS}=1ZXwNyEVWzIWAe3 zpeN+#H=5ZsA^(7rV0~uch zT863$%0^Tv#qN*K>+; z7DY0vsasd6?F2yK8;r|3?wvEPKi;X!ZO)-Tg}t)<6NESNi##F&!o!H?^c+?fzn*sY z9o0U-@O@Gdv){`)7^BOZhJ_Y@Eru<})t&KF8LF&FptFUF{Mb?(28sF56*U-*AjZG* z&c{g3iN3z+RWLMx1Bbf(wP9@7}LkfCT;3 z9mJrVe{7)$jSWHqRN$P0*;-eI;%mG&Oqlc_b?^dv%*5%d0bEs1xcNudXWXK5AZk1B z*|`W;T|zHb|J|xLm7)9@nfC|r*{tgeyS;{m8V_S){iIXgP55DA8{Unfv+>#v!euO| zoLQ_dPJQV+{dC9kTd*27VCWmB)9agsCnZQC5qg!s`Kh({bF5x)6AG5|D3Wq z2ryzaIZtiHz&93J&o$jr@n^YIs_IPntyfo)$=I;hKju|LSXU^#cPYGf=_m{*8wq06 z_f1Or?ZC|;*}9@GW9NH?)ATq9r@YC$=s23T*Xbz8cQn6;Xff233GFle$&W&Fy;p7y zC2H7cFT2yCOFVbKb&jH&qZPy3!&g9=j+tx=9`Yf@?M_XJ%1C8jT+CCf+|6is?dg4j zY3|JI%G~Uo;@RKi+F(FkRhNS~b~0rP=O2D5z16!m&UM=+PBL3x#P-=IM~lW}0JT6V z?P@8&uN)vjt;Mfc$aojgEQ~c1M9{WaSJ$9o6gPxB=U7R6Bp5#b&=BpsREL$IZ3)$W z+|I>@MQu+=l2}T^nUh)B9f)8Ee=k#zB(hyqs=?VnQ}w z5wCV*knvkEICl89aJN5wy)=1Q?_W8OJj`y?eJ@2iQ{JGhs;#cIt@5`?fw4YLzCW?@ z^mR6E>=MHZ7C`H6DkA8fUAoPiZJZ#I`aX{gnmE7S?_WQk`Jh-AYySq5hm?F*njf+NF0S&geluDN)ThQ!7L!;-L%hXC<~(7wxD#E1|m5O>Yp&i9RrgN4Nh6M&7o z$x`lBkjht()ymeLru`yzN0Y&U2oh|iA$1&Ub2-{4r7<2_?jV&N!*g2dM6(@3Jk(3g z%W?)^ob4LwNO zrbHHuw(6dVbz;c7-}^4vA~;%V^ob|WLA6%Vc0qwXPa~GlRvI)G2aXZ=`x(S#zp_gD zLAGH~D!JS|P01t=C*&6D3~2Zop~+XGD6T%yPdb+kO9UqB<)e(GRIs)45D%IrQskx# zdaONbRs*yIyo{SGXHmk&`JET`$iR_MK~Cn_-cJ982<%+C`Nc%raFgS2d%jab}%bGVBONiq-3I$NZY9SgfF!sj2KXq!P?160*tjLP~5B%A}{d0F~*k3*hXH8e6Ok#Gd21T?%zW zvQ22FX!pP z?GeZsAYxg-ZNgq{nmut_v1#8@syJd;JhsiiAxN?dHJTB93nnmNW+=99rWsst`UJLJWF}_oG~vJcgVDsh!sdVC33HCl}e=ief?g^ zew_8$1Dhd@*;8h=RgP+TwfU^s{HIt_PQg1N6M;&BtAGuzK{SK4H$2y<-C%RDAj|Ds zT1}5$>sVBm;`brI6HYj{%*(eD-iWcB1y)@>47H0$!TU<}Koyle1&}NkN)aAu7vQkp z#~VKzowZOO2O}LCr({)YwDQS{t~CJe>caJ?JOlXT@-uB@IpJ9zeCE_TysMu1*=jT`|{3vr~{Y zuq^R*VB$|Nca9sKjq0-9+`iV;Q!+E%b>oU;GiJDc3u5cy63vPo2U>!Z91k!Tu>r_-B36z#)7i`1(p}c{k5A)Y`zNJF^M$pCioAddW@afbT za&4W=ky&I)EErDZ<`N*8?kbXR78x*b%ss;pI#@jTu?>LdEw{=yag>%Licm;%ycb+Y zd0!t@xHx}ea}1+Wj7kJZ3ml(PyurXQ>K&3FEY;(~K%RXQ=s}4zF2V%s8BTafsQ`F~ zGEeeg3ou(q$I_%RsegGv>Wq;}r7XM@MB}>px?3SB{Ieb70KV2iu9Srv0*bT@nUCO^ z$z-S_TDd90=URRqcd+uJTQG{jpw^pwynuWJ6sF1dhL~c7y(>>O#99wDldJW_lZdm7 zB&Pt4wz@jf=N;P|eme(e?Xq?DCMs04YDj>#di~~564{6f6tcX~X-0lui(9vcjwtPl zOy!^=+zlQgl?GSVlZn_H+ZNk9>PkK$;WJ5EXv#J-|wstq?SmFpBn z)(~glUFYKgL~xj9n4Gl4jLqFs=$OUuPNJQ+But$YU4@%9-f602Gx3v%I$JZhqCx;ninuIxiUkCfkU1{ zYgzyT*SHx>#&SNNV3{u69i(Zex3akFquH64Q_@Re)qM<8&g#PgSHMR;rHwRv?Q{oq z-W_?>pp6p9)j4tqkk4LcG$*pF3ulM`|DwB!z*9F+rxb>rmWTKvBbteP|rBD`C+;OL8k zXXUjOm&0trq!`y%F4W-Y*ELwlh|ePljb5}?q+KlmqOob&-)ki++#)wRjELO`Gfp%~ zT&(VYZ_%YfX&F7X2FfI_=R0KX^@ye%Xz)($P^DczA#`$}=|qqC9uHfPHkB|}j+URG zqfrR`1{z&+CkGF(%$NdjUq!WCQ#;U)M^krG- zCJ)0bwfE?BRaO#Ti&Hv^5eB^uTE^XP`h5oqnx7O)wtu#RbY%bp_?K&$$hY7`;1tuw z3V%$mDkim&38^=itu@}bk3n1ykYf1*z#Tva+$QZcez0m_66H8wZIgfKa~@lC+BR>4 z!(k|1v0*&wh|<|qGKnHwfkAghxspzC3^?RKb7+nS8*5v%kvhfjCNX%k2u-hpaMl&ni|GOW77c@97XvIiXIIdZxe`8UnRZ=sF^>tys|)gb-?0%;y%J3qou;kp=sa@XI+}Bz zQGK$I#~i+L0D%4@F44^^GPD9t;k|iPtSkd?F$}{&fmIu|L(xN=0VlA(LJ(y46rotP zTsB>@GRS%uNgB4A9%!c(UlM=cj#5re14IohxbQlDF93IzHGBc&ns}< zzu%M6t2sB&xadmlArwy)Dr-@Jx!UK1@f|aAfKvvJAZqsXsZf!RBTZVD3efR+RmeW|3#SKgj}slrgA7pBMc zs$OdN)S>y2tX=dD=kp7BiL0fnk3{GftR?=?4~n4dHRw!ryLRw=-PS4U+(Y2-G0tGw zFik!%?k=cI=}kp{t~rwexdKss=k`FHJHI=C$xEdAtih|2m!-XIm}AM&JgSot!-VQD zC*97Xps6@Me7me(3@XG00P&ZYjEy5z41mh?XH=6ALWN=_97jp^RTbAS?T)lZoTmn{ zDEwtA>&54OvU=j&ay(iYBYNDH8eR=>OGFd)w@+aomO|bc1cO6PQhnY^z5S|=O`PIL ztUQYGCbz83mCiDMN?K%0{v!qw5}MTmo7f=uCZGy9mB2SRo?Ia1EmSKBZhEzrza!T% zNk=AQ+jNyUYg{=l&S?yz5+7aDUe)V_m!6jcgd7ZasFzdKy#uqSZ8FE&Ln1@G(Kcl8 znK|u#?Ny1nIoJL~T0DYtthEHF*9frU?t%*cQ z?_;I`wVSXYzZ1-X$5X*b1~gYc@x`lp?H@$?Ih^QKH-_*{Ql$52pyQ4Htp6G42TT7u zY8y4d_=rWdO7A-W7_~fQlGF$(4!!)Gqvl+Kk%lkg+~vHLfF)I3-JmiNsYBZ~wo1ee zY#r$B)7NKG2i#`7!hON%XIGgkPKN{^apFzZc%PXVpCHa+)uM#9u?6WNyki61`pAGN zK0_iN&a7?>c)!XRmRC!{3}!+Kzv-H)h_!&rc1IFLI?Gi-a1WT@>0# zmqmEgjahGLc~Gt@bT!kxyA>h%#l>n2@6t8(hl4%TO+&d{f}1SfpIe*kT%vf`Za_2o zsMR?6+MGr3$F+l-IXfL|sCd7ZL>E^Ua~cb~i++h)tS~Ok7r3Sb1Vp%S-vczDNxsRs zt@yaLA81qQw7pEn+3lxN_$E#1?tBx9WM+}iMcXweO{Hm)J|QDdD4s+D3`Rm8jvHQD zblj$ufI}@(pviUUtzn9A`p_}CV2a=AQzvUSBP^=8#)?xWbc5(c3F2kRaB2)t-!*R?}hFXkNU#ySCd*5?gK6 z(KhF8IH-g_rFRSKr2D`9;QY*#R)1RIQp0>SrL@s-l@v+qkuH9d6~UOi5Gv(vdL*M^ z=#-6^N@@YwZ&PG7M8b8x<#t06_In4%ovc0!+>{<5eOA@4YQe65-@HC#lnnoB!uPQn zsXSpxpQTb!a0cL*)4)EZH4WA1b{g@~V4Q&Vk4T|(&rA&=UV2dB$hq;pUf9vhU@eDB7D<3AjHTo z1y8B}qCfxMu>G{SB1?~tZWMvHv83v^75@B&bjZCzq4O2n~+Z#SIpgJmwBzI;B zmbUNkJIV03OuYDY@q@1AX(yFPW%%LtP^n!3MPkgZ5K%I{*@b~=mdh>5EQJq-{-crJ zCh_T{i?j;Fq-sIV%Q_}z`U<+S@5xBHRnJ0Y+@E(?mI#g$l72?Fv30g2!1{$+H>mtR zgZiH>9}2}3@KwlkgYjDNlJ%wpX>w2e=s{@f=xQ)MikHQO%=?160=t}yU@-6UoA{Yf zS{GDO{w6n9rYRp&@`(ih2)-o`iM%X zkeV2D7_f?n1KJYq(j19|f+xOz=>$k5Cu2?_vm~JjWTLNyMBlUgIILu%N@OBy3w{u? z;83ApA2h10rc~Ovmp5O-bhZFQ4j(*!#C{bPYT*?!ArWWMZ|M{yDzhY0c2c3=lZf#H z{3oVx`tL6xrtD<@hyX-s+8&6L=v-zYpWmHLlM{giLxp_-$0QSJ>q&&7mTjHIO`swb zd3oz5X$7QlvVv&%@zjX-hAdI`7V1gAJ9)g!S)q|AGl^)5DB;}Qp(iaM(-pA}VBI5L z12gUL{gFDSf~d??!Z;_l4NTflkucMz`*r8juOUdjs8ddYSyjQs%obJXxHq>AM9z?i z*vZ6(rottdqyv|+Zm>!2Er^LYbel>r_DM4oTO3b11;Y_Zk;(2o%@ht;_KLZb(49o~ zN^(=ntjv_7lCps9DMdUKSjrAA`dP`$2XK-gWtl;wL=v!&tI0;$u*pO#e~zKGbo(?x zm!wLVCD~`8*)tLsjXFe4mDErbPrVi(Ru3{U7wRN3A4YyPxB{(Cm4vHFrQa3Xa2ee# z(TJNRSu2_OP-(|_F#b<~8^aVoi>iC#>FD?#nKD0#qo1Pp?}ej$!NIv`{AD<RQAx z`pD6h${n1~o%tGfRL`Z8@lU#SP|tr*&Ey4_-Z^UE|B6UT3c-0;p;4Z*i{Of5AI$`b zRET1zE8#6eXu?i4w0;3WB(x9xP+;&T5{Z%@WTyO^Gg8-BL~c9QA1U7CciPDMB?L(z zkcczP%Kce!{Q42Lc{5Iw2CG7iR1_o>HHOSI`6_4Uw!sjIxX2=zSny>wQH^6t=ZM7o zy&yw0KA4B6o9L0&J-rh^VAAd3b(X}W`!=YQ@d42Dorg!Ay*(FvqW>HXYX?T2v~tJ zn+BN)ABHCwB;uhMKo34`V#ChyT?+^Y{-H0sCb?->XHdSk$=K?+8$+?pi&G2i-QS6u zN=&#g!0;o*8qE>YH6q{;;ZJT`>OxPc27ns2zwl^}D}qQi8YdUC4~9Wu^m7g{o^x!3 z4Py=bZroVk9mwZL#c7npnK8mJgCJmaKG zF(x-ytizxg)h^^NxwURo9=RTgGp45{_&lxTWPGr9spb})x$XACxgIX*ZjO!9Qr8C) z9uo*-N?*64h_yO?$IiCHF^Y4B4>Q!5z1eKBenisqabtPts$6d8xShVG{V7YjZ)<)) z@1lHA=1v&Se_V)|4X!*#ut(t>RKr6MoVwEdh$c@id{S*Qo}FQ{GJ$10a z5thcTxuJ+9sgA89oR`I}IdRS~|Mnl!`V~J?eD+DCAeTu?M@LR9dke2Ae4z}(vV0|b z5#1TXJbt+9EQx*4Pc^$^E^aLeI0{eZ=ULln21!YHtndu@MoLf_Po(AHQ7hSN%>1+0 zsB>zfJv{>KPq6=kh>H25T%Le5T%!Z0zho%@LJ+e8D~GKX(RmPH3CRRkifNDLT&B*k zlZ~Av%oALNAnvNmIA(VxkCB!rqBwadAtOlUKP3A>{OG5fl zYNF^&a^8uKER=;o#~xgE*(sB3RJk&wnvb0q(pg8sCj2~p!>UHvgQwPYkeNz^k*coG zKZ6e-C2J7Ng>_F*PIT(UV~3*xkd{L9Kc}9NvzdC*e z+6kR8Q6bOB=B%NX<~16dLi2ZmHowtwuoV%m?F_32cLoTo^*K4JK?hFEUL%7TZciM( zDIwZy0$bFMXXkNwCP>W0BvZL?XuL0O6CNcT!xHmbxzA*zYhW7bEVOD{E_X(o@&7FT zEj>0F)p&kiXNit!dE^l~YPZZlG?7McI{u`^!fUM$mTj;>=|tne=3pda(;3ra4XVTW zwBy{M8$^y;10Llr$PW}RIt zE&Ec5!L=~>#>JdxP8+FVSJlF%BSJE?c1RbdS!@T6b_o;WE&k*|DpFe@Hm^|yeO{VV)*8IuwNp#d3#tOgN#Gb!1)$}M zR@!V2tmF0H0WIYYk_V;6C2zUm``atz?o5R)MY{ztXzQ_Cxr|Q&x>@D@9#d+m$R9h)kS=Pn*jYS5IMNAu9|FA)#G1A9 ztLisqtMLVW5vBku@Xl;fg7`J&RLh{}e25i1W7`5&{U`~dw<>_T8>ZA*StI#2mKC_N zlzuUwCwxfPZEQ1al~%}zybTWq8gU=Zf2LMyP379Cc|MfBPbY3)?8a+5OOaQF9>LBJ=91ifPp6&^={|#IFAL83RL}u~z&cDD_jA_5u@h zo-y{{j+Lged$;y05zy0kcX?y^63PAh3z3sO0QH|rm>Gn>R5mZu?ZuebEP;aJspPQB zwFXEy;EOyp7Nm5VD$_TDIJ%xE2V<=%*lx~bIFgVy_bjp&dF(t1Q@g-Mt8fU2yW@l* zhHC+bU@nQd{@xTdN^bM_jto`e{IatT<)5VvJ!+KJPjQ2#!HGo*#d4V~tvsZ?Ebfqv z9yu`CdzV(Ve6yWoemo+FqokpI-HZ_kSgxeF&}TTcuRA=f#XLXIvSq~g;w^>(-=m+? z^)%MVbu{R+Z9VnM7y{}cb~%2hvm zT|{_hKlY~{1a;Ln=n&i=hkrM&H%a!uCfaTg8^0T@c594USm+iy=^_?`}B1d`?&^&!ZKN ziKOcxIT={I=aTF>f7_}or&Zr}(=cRR%=vD*#6laFIA~>Y7m`6Y!j9`=41^kw6DIJ= z#~ud9lZC;R`k`LF2LXu~$G3(5)#{<1!Hw~lM#pYIG|wY3(yu^}YzVHVC>M9o%mmyu zn-c~67sFqvRf+=d)E(;}0qhLE+o8bM&l5NX!!y*IrTnta1W^|!Gq6=(N+Ulmd~d5W zf3nm)!(b8oop_zy^H`r%xjJGM!pcXUdMl{@pw`*yp6BO`W{C*#8N^5L_8%`$@n7F^ zz%iFWiJEtMG;MtdAf4B&QP|C9|EnUfS>4Fa(}a6Ey$m{`9EG6)oRmurIyWF_;Wa;T zmn{c9bWBeG96`1gq?=zF8h&{gA;+eqmOBJzd8KnG8+saN$#7Y}lPor+0*bu0%Urnu ztQESt`$gy5M`1nl*b@mJYCA_{caLyE*M$+B`r?m^H}y5Dt{&S82(f{bWvhel=3&Bw zn8|8~5MQO^d!BSrf&V3awJ-uvpS9GRD=LDYytGdjL-TJ`1=!UIGZ`D|O|mfLUzQWS zD&LEvNw)Xv?Bj1t=>RT49a5v$-JH7IHY@hadc!4TTQz|>^1A~RCLWjk6zLwX?qp#F z+N$mF4kI2q*oHjN75cw1B)3|TUYfLPY3A*+Bx5wd@CWJ_FPFFl#Be1Kb}F+$C7GXJ zkUlVKgWfN0yU;{1%u}1o?#RPz1TXXNvEf}uf1%$b!50fbeUm5@Y&3&L$zSEy-_aH* z=&2*z<seMEPt!z~c6k;vC34iV())P&rnp#58eUHoDx|cYC`wFbdZKRJ zo8gDFb)}2v)7zgYj{T$F|51Hi=@j{OO9x$Ds3}^D={yglf9*$F=ZPd`6(>{(+F$1Z zDXLml@IXYOf`(NDjlFs@dAuPNgVS{eMZ970Ul}V@NHTeT-2y2py1uxqy_TJgypZAD zaL?hAPEPQ^MB-T8{oe@xK?My@Wa!A+P~0WeU0`51uK6i({hX@8$9jqjO1{f+ZmDmt zhM0xUe^m89>SCNb;^@`{Pn5;8A%>W3sBO|)A}}_~$5T9?LVrgQaw63oHRAplFQn)n zMfH~o`$tv$qpEy7De@}gVXfr5N!Ay8x=`kpC03ehcc9L!NVPZAZ@^sG63DJ9-9b9A z$77zCcoML#CV2w7u*bumm;bZD|7ZRG&-(nI_4p^gy8NHm>HkQ(|Fc#lzq)-l`K7Zh z=}$b*?)}>C(+3bh@Gkf$E?!FL+L~NqUkHw`W#xMPM(zMRk6CAo=Zm9j-YkziH;btq z$SCqbC&*RYyhL^N2ODZJeE%vX1hWY+&^ap&cDSn70AkAu`}}G=4`byxAFc?YSCQ?> zy+@bgxSU@N>RcbgBkPsZji5aFlTbjdGNDj%cA3kjs@0)_Cd?D#nq1n1x!-s=xIg#` zgS?;4tiVAu{F*SQ*l1^nL8Jnw4>e(w*0$V31t%L8}z^i+^Too7yB>scB%w71a z3)3X@6lQ39DL{BLyNs>Dl^vx_7M6A-Yl;jYnlD4qdTxYa?fVBPYoPFwVB;m zK3|v9dlwe=zq|P0^i_IteuGk*|HJCaGuZdftXWcA)?=HC4g|+lME3H7ib=H)9-&TV zf2mv0|51mDjsNt!a{uZ7=1%_UZ&!~hf#ou?u>OYFV)|c*uA~1#3@7MybG4ngWM$18ZK&?gT>ekg$Z> zXRAhMxAlmbqng}CxoV_84SOp=k5BTRg{8mh8mX2EB=&se1BT^hMWj+b*o&R65h*)P zSpop5ICouKsdspTz_KS{rCN!)>X+C|^3r=WrqXk$ti{QJUR4pX>?`%LGKMVc6cg7d zhf^bNbkLP};AXDcZ~Q`+BhU>=__itRam8szg!Y^5$rWo~FP&lZ#Nt@x>Z0IB8{O3u zX&tg|(0-AYlM-EeA^;^SDxFe4$BK%r1#=t$1GUxoj@vSYks6NXjH1&Swi&}!qP{-M zWwplelCYFo;LjqgkY-Yvc$X}p1&IA034MPA68t0hQl`ez=n{CI>X6uZY>V;j3^xed zpothcQq?2S>mNlUc(vuz79_R_DwhLgMayl-P;zV#3%J*#rp6K^Z_)dCnHp^H6Th+A zt@IYxS7k_hDdgSAS4GohBisrYvvsp1jFp@lmhh}3_iYDW?te6(KuQ!f? zk2WdR3*mY<4)crO;H|*UO(pdVm%;PjF&D;1c*r6Bh~MU-DU@SyJSsE>e?@pLQU* zw4=q1+A$x$91qhLh*Q~rLq*{RZ@IWlI?_K31d7;!D0>aKWj@TxjyZS3Qcin?3^;KS z+|;8-4t^(EZ4iRU0+~Gva*D(YC28W8Sk52Z+ACB2kgRs;)xaf}{z(d-IJ5G1I8X}Q zEwPL)Rko_c7A{p}(K$}Gon8~oaK`*7E>JC|e3o=KPf6CBDRLL^zg&v3D;!5@pNBSD zo`%d?rnBc)l{0N|c;3JNmeziMdjP8>^fCx5qK+aI=e#c~sTdIwix^>864&lLCB5ji zcf+A0rhfv%A~S^rgkfeqc-bipj!Ry@ZQZ=(INRe| z5kdp~AQ+#=o@&OF#axhjQ<^d@8bF~%P;{;ttI~k{w z=jVwo>`BoAB#9ZLl0WZU>8IlGHQT5RH3trQWCeG%4_~S>1^J|1XU!xGnjK!A!k{9` ziZPV)gGqGu%hAsUoocC*e;^WBdmTZis4`!z^DkB#5F&FCa4o4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*IPi4gdfE006a<0ssI2006rM0000000000 z004fL0ssI2004La#C-*D6j{`6Hx9ww2~IjNr_tcaQT2LAM%gUed z-T&`@`C|YR4*h@Rtp5+m`57L|`u~ITf3sbu4S&||&%Xbe-Tq&{cVhUz;f*2u?&Ol6 ze|DDm<@u|_r*VXw@8TQD`%Qd~>4+I;YD*W$CZp|q;Bq>td0Z8^OlZR?C885Q!IG=fWB^Fc^<+DCqtR&r|U{QOpM$w){f zq)F;C@qH@sjZ+u-z0>;%#5J-lDNTOkY(+|VDrBY=*Gt<k@nfTtRi!;BZHzn11jYK8=B6W1)ltoT zT1=vqa-3C2a!EN7iTo^MUVbCxJ8O~r-f3sqmTX08A-Vp`EAf06Hj#dpR+VRoO-hiT zB_5|=oM@f+<@ZuY`B|Q&9En+emKdZxB|?c`ej{bd?t%#XB+{y~=12@OJ|&k#D7BNHrFRAf_abMd))Jw_Ait4%$+I&WrC!p8(wLf9y>(Gxa$7w|9NN$%&YRqtkb$ zmD9B#ZR6rHw9+pNZ62`MXq-S&wUbS$fMQg|D4E2DdBk#X7O-R$iE&5Hu5TH-*DZUqV0G$sG{q@fh)aiT%LY&uEZ3jVcKE&gg5~V19@jwABL@Cz(Bg;|ZQP;Eu6t8tI5deJ9mvh%atyBlG-cGdZ^Hb_sJ71|hASgnSGMRuv3*NYiy;S% z-6})RbLG?O#pcuV6`Lr*t_bqo_}2KWY#t+$o89|?PaX4oqQ&nso2V@|a%PJ@(#Oq5 zD>8Z^KhLQ2oPZX#F~Bu-owWHR6%OUVE2^AAUbJ^(zep7h;Y_uPp#<+gRF>A>%0h=a zCpRW3Xg!djI!<|iD@>(-?IgnCy;AG~t1W81UO8`RYVYG!9UjA|p?tEo&kb-bZ7rdV zQP6-)9tn4@qeqQKQ_7`(`!y=8zQzBg*<-p-g-TShb#~#g+=ruU>QU&8B)4*P&OviW zxLmltzY>0mZEhWe&6V&+8C&@^Mo!|mgo0>&VtnmRmZG=IGt*GNsm5FtEmgQ~XmvSm zwMD_xDQAvjw0gw@LsR~)4V^Z8W$ruEBIw!aRKjEbAZ>7z0<`sT$-iw2(3N^#y}>}f z#9GOw)3=Qca7`Z|%@Rk&S`}Dr4O&phhmKz2w~)IJaU4Yq7f#A8tS;G@((ZTBwHs;t z_bF(9z$I51zLmRWCNq^@RzRDppbcRe`a87HD`6>WIdXz$8i=APn(4AHz?HpsUO_&i zpnc~^usT6IC$t3$T4l&>0wfCB*qhIdq^d6wa?5f*X06Elkh(->5YWbmQpU6$kXs$v zt(}yQD(Cbndmo`#2r@KmcYteRa+iQsf%`qtht`F`L<=g;TNGOC7e9&kO4HiG8!;ig z((9IVq-R0;x~aNRUB&rjzD!Sr7DgAUP9J8?ptAkG7$p^VUnW~3ld^t~qOenbW|0w^ zQB5pcGbsoO!nH!vmLzLIXBT-H)t3p{+9>FR#{?pRm|0tt&WUBriZ7ZV|OTXT) zyo%I^OtIdf1^r4JTGOZijZeGA=&JmE62ddUZ-bMrC0CLgRB`oZqnkoL7Y18|Q@MQ~ zY0EKxfxMDJ4rX~&Yg&YwT%0J3YL8nKQPZX_4sdNPDy!mZh1^YcA}^_s*N3?SxN_Ra zFN|1b{H2hu1FB<4!CS3Y(a1k9(RZIWMn{FbCc;6qQ2E4r8dgu*ytqQ%l4({gc(v)D z#b|oacu(YuVNcQ0m{|d?X_utUbE;_BhPIa@Tov;5zgF_wquL$}-M5Utw z1(O=VsyYk>_gQX1PnwwMakD_WT_u)(8O2>6s6Oa1P8b-FP3A)>#D9bVrKm*lkMt&Xz8GA4%t=>WSokY&5NcOgHhmd z2)PF$SoqD|i>OhJlXQMqO2f<`sT%hsTrbh~y>s~}UZDwuIM1MvPlMiBxe0w!pZt_8 zVworMk}%j+XtpA+uj`*hB?S4Rik2D>o*HSaY!2k6Le8TpCgU1~yl=_H#z++{FEQHt z0`lPa!IbOYi?k3%Jz5dqnwL-7Jd=u+R|%Q%5sR>gu_X(R@=K@MZp6L6K7x^1%?j3}isKCWn(Y^( zimELsdDtT+J=#^Dx-|XfY?@y^mj6J-4SQ9afC)`Ggxms`PY4IBbEBRnBm16x63F4Z^$r70{hL;qv7DKsYv>mC1vK6O= z6IKwe{Xsuga8ZD(?*i$PiE*OG4hIk|^AOESvAI(x`Ylnznm#;U(s`e#&Rm#Bm{%v2^JYLwq=Zp&45j)4! zP%`Pd+kOj`Sr!8M7+h28-EhiYt}L~9w#FEu+K$B?m7W$>dz@wi1t*E5#w46#gp{I{g}tV072u6ZXnSI(0N08BGFlp`Xz30av0+qYb4=In zs^ZawLFgf{nwk^6xwXy^Si#tj#}3*8)Sn_bcF>Mix5NZ{lA06s;H4I;9eS(42IZ+y zC9iOR1bI}N9^fiBPv%%z1uZ2&$968i^((#FFPnmv2$RASh>1IO+S9m3g@n~2mDOIv zV&u=*bXMOtx2Rgz#KLNe)lBjo)(k5nWG<{As`JS!{ZAl}1-9)I16*If%E4ey1?@D- z>001ZIRn{iD+E6pn=vL}DID~L|t+bFDNLpzC*#!JURT8;%} z>1O6RBG&BQ;0s_0=l9@Q&6axsf_zbh;zOodPvB4mtwV>#e#sQHL|Duji>RM_xh%Ch zo`sG^{b^iPp_l^QLNb)bmYc`Y{t?>*v_fjdq%gv)3{FcQ<)k~)yc`+;s}%(DW~&2S ztxpvZ&_dM$+5;A=oyJMU+M-)+{3a=A578U&5vUCcEltxuW~K>8LX4rxY79C0nAiLO zMUMXJ@GZXvGw?V^|@p$ZcD*Z{;Q8B{&(9^-+6cKQ{!T>^S1 z^$jJz0@7+l6|{qBc0b&9{6Znh&}yt_td$01S@>mFw*VKVk!5cwZzPCSi#w=moKfzP z2ORpRf|e20K}^b=4$Y<0%+_Jlyi}Ck(zi?%il5AbA6izMc zSEt7_{HVdWlSY5#u|vE~qlMvge)v|(-{A{s$@?4S74jwQ5V-zc9MU^mIaZi^M;SixhF+IF-9VZovuZk@8Yp#$l zMmW5Jh=UO=X#}rQVFkRlLf#ZJ$v5cc`X*aL{hQpN$vrw4X%zA}Fj%(Nd@AuKh;laF zE|6DH!Z{0qw1*I0=1?x$bIWUejYBO^%K&K4FXwSxnkkd$po&!JuBGO`?5a4s!7{(A zDjX8P+$rGDYSmiP>;(mB(XiD<5+0!m#z`FGdSN!3)vrYeP5<_eGJn}*q{j6)I`dwL zwG=xZ15d4_ag}dVWb>Sc4|WZ4VCzo1$YTNhwNGIRZ#P4XJd$AR2S*Z|SZ~W(u&NTh z{W?k5+%ESqpjdpIc1#Lzr7kE_@S%$HD(D{}!FCBYC1xwDJ>)pI8e?}&ZA!%6yT-84 z3E(iWDKQ6rxwmQ|y|{diCU(1L{HpX`jjfWTFzV;8Zzz0zDznbXX+|=on3RF@z(W&h zS)Qvxv6M zJSK#H#FpjVl{LvI>PLx}+%qyM0hF5DhIhODL9JDTXyoZ6sSwFLb^l{X5F5o+kxzAtD)9rpHs{DEEm{ z>;am^N|B|K2p#lgrC3IAzd?Sh4tjITm4@b_SR{+kdtMEpyANMbmVhjz}brySo?LK5J-0_;mC&?ftdjZO0@P>}M zrnMbiguZPbFOb_ghI9}&YiI%AvbnOKmt*AWs_eZ&XA#d^F|p&#jM^P|>^V-hVujZj zrIlj1L>>|O7Sfu&_v!nGQ^shOg6UvxU!0PhTu;reeW04JBMefC33J~@+wJeXr}`o#_E=iW z$(Gffiv8`O*bSthQmjmqoW>j#YwosFl&(r^>P{0$R8%3)#vt^k$c3`U3(%#HOFfZy zVs@)IhCV~)W^*mQF2`#t)BwCM^uC4FcZIx20usr_-$C*QsCkj>Zh77_Wu|YG0xEtNC52 zUI{q|E}#^1>%F&41v>x4t2(&J<7V<=?PysYd{nH(K(ptaE`#wa6IRflv* zV)~0&5Cn5C5~$?^z7lm4na|ti6L(7#S6!^T?R?z}Q?@CiMHk!uzvLv<4VpJ=wx>(n z+`;uTyEa^wqx*}hCvuaOOR#glNg;QW)y}=g4J%!AD?J)MpQ(qWI_e$>eM1B(m5hcKSNF^!rIIm$%9Z*E|S`!lBdLAgJOmUUXt7C*B z?&eU)@A{VUv)7TwV))LR4uRT2Do*e6WuTnVi0{aF?KgiADqd@3d$yawk^ z;E}bKx;x1pK>iuwurp6XpMw7ka0iW9zPDyh;_}mJ^s}r z)_4ocz21_)>Hxo+O1Rr<+N+9`CTDhGHGA*<9CRuKd{@ilpv1%F-up3yygV<*SToSJ znx^*sT*a@kn$^U|aA`l%aAMY?l)G+v+Ugt2KSUYz1cnVIpq^`W3^VPWykhE5Kn;S^ zp=OnY)!v^eN3DiT@yxL-uz4|@{^%Rvn!iF0iiRm@;{K6D5U!xbZQt6jq0;*dT(S$M ztJAGCO<0rJQ*XCfit2eOvb5BDv=Uwl7U_rigA$I_*lKDKYd%!Iz$s{{)!45qLX5Ub z?;J_kY9{`Qys(FQ9C}3qi{CZ)?kRc1e8Z?lU@7R+tT*k<3Jp%dALz6l@ z@LEXfh&aO5gH?oAYhDIQhuU+&DMw%7b^l~BLI9F7T_8i zy*KAl`=JjQgf$S{%I1i3d-wbxR$8%YtgATJswQ#op07eEb_VjsgUZqz-)3~ab}avL z%I0q5d20KZ-CxMv^P*~}$4%BZ6{o_lyln0!AK}T~_1?0ku2D8Gg5(s}DCCG1zMDoK z$1%AVA62yMYPQq(UD><=RIZ0aE1G!^Z#dqf)2?^MM1>r?sq&tKc|~7SVxLT6q?ubG zp96dHeF=eDy0t|p!62_8bY~W>{|4b1xT6Y6oq^Xl1LnhV!WgA$0Tx6$f20k7RnE;Rg_%1*BDtu z%R*>Zh2#9u897GwRnam9$c1nXS7fxDP*pJ__m=u}=&g`rBj}E5 zyAIDltP&_aY9r|TqG0z%&~()5{5bg@Sx2YazN1=K+Z&hEc1l;cdIbFPAgUJ~c`66N zJ_@;5uf#5x<>ym?dJOOy)}W6R<2CIrFL~w~AqT;Hznh#%mga83ih@^Fw4k;WQPI+t zCq=rr@j%s<%bPd4@3pAL32a?LW$MsnEwx?#oF2#1{eM$>&qNe*XU3;Jam+=ja|@fN zRmj~o&*&;l6R&z9cau{s3vj(!C2gKUMN37Pr3-AXkS8oV$$j_RbAWgYo0raClh*h2 zqnOK{8%eO}j^i9wzm}ogkIw#vzU|Iv4!9cWS6JCxTq92T_GG7m&zp)FRXl~fHQMft zwohCvM8OTbGBh^=9fsEiE#<}eq0;6Ll+Cj+K_7uMP{?tAi+#sJGdm5`{Vm7yjxvU+ z4E-H#565Z2h7mM^!{OE4g#PW6%|$qfz2Zlw6Pm#}iwc{^QOJj&**<7t)WDWh=Bn39 z5irXm=?7nzUkz#V(+c@SM4_OoINy5kw%;Jt_8CON3PAg~WH@ySDNFPA9dO6_QjP?R z*Kz~36XiG3wW*(|Yw|oseh-^ZK?_?V8q<+%b3AQ66_lPp9xJdg&9lZ4fY=KQ5*EH1 z#=U=QzA+G=_bcSxZKEoiCp>h_9p_2;Hc4$DkUw4DjfyQPPIW5paL4%@M9Xj>uU=&% z6}xhaE|y5_zL^3o$>aQ>IOeGJ(&jOh%@tA8(zR$xBm4i<=D4RQeQs&pE(5?15#r0vSJfzn*LKvTv&H{z=_xP=7S0k`FP@_|l{%plUB zpmNCyjC%TVj_dynE=$WR{wiGZ&0{CG9W1-~d4BrC@Ja(Wv_^a*=FlNB4eVPIHt}dr zU&Q$AG{7EoETvd&E{N7?cB{Pyoo(BA zvX2KvmQ}66LK^b)9L=2@Va!)q(gg;?U5Wafv+wDeCGX<4?-6YT&=~DmO`4bC4H2)^ z_tikf14d1c**n1XYf6`h^DipSg>@RD1(l)Y3mx>EpxSO^4{L(Lx>JP-C1_}llg2+P zT2|Y`nwV|3(eqaCXw$^C#$?6qHhsa!&9v)~94p$$-Q@ib{pedYFXV1=0B7jHcoKOn z59Hz+XPnz}qLnar2iQi%dHLEQ#x-U0>pbhT_;zYdZ~krB{_86LzS{(4YbKZ@73g4bS$wy}B{-0+k0=bNemRr2^ zr`16Us+%cV_)j(ZE1PFWC$tf_h=1I^hPqrjO<0aeqQ>WKF|-f>&K(#*ee3U#iyCT9 zv=A8}$lbLZ)TZB0k#9|y$CcMtBG0C5J_dJ(AB0g=w2aJq$S<#&e{aE-hG=~IrXG|y zc1bGH{D$#eA^#h@cD+$N-fg`_TSv=%fBWY4YDl7EIK`RcqD3!%8V=`qFc`2#reG@t z&24i94g3CC6f`$k?fWO#+}(($pt;F4%MBrVB=`OQP%FW_Xb_|wM?_^`U6yvG^IF6T zM(wFsuC9GLo(J3XmiExvnxPK1$F zL0gAq#|5}sV$!1fH2bx@xxJ5qRv)XKwXx=UGLA`oBfXY_4gmR9u0Q$>zVq&v6f%iA zDQIPYyawCco&F3hqk=Xc$U{)Q6ttj$V~m&zT6-X`4v(GtJc$~Axh!I>pMn;Swzq)0 zXV)CGaJ$!Bumsw!3GN3Yd|l&8NUPmaS+W`2k+8Y48kYVVsJ3f?J0WbYmi~_RxnK-K z|AgaTaED_JF6q!v%3b3pef{7ZvCj1TSp^9O;*!>P^xmNEG>44?5)Vv{}eNxcQqHSntxgyF@j3}=JHqkaxP=CwA_t?=l)`u%-P)W-P zXsT#p5Ij-&I}qhQ4xFwA!G-388XHy7yn^2HG)m*^MZ3LztI??kl(>QMWXEiAxCIwaFj6WZpH0}_GnE(I#t-qsBUtv@d2*;J!I+G z$cZWZ?ItVaxS`>O^0%9;ZfJnNeHFBY30P&5XDQmee#@zQw7)AWz1y-;wX@jmP5!zZ z9s2AA?LN{gB~DM--_wf8&6r)v-|5h)@U0l`MXWBFg8dSx&f*krk82fq+^G-B)|jYf z0g9-6*zi*h4S>1N@!gJAohh3sYrzk6J>a6DE99d9Is)++Qt2u!E0a`&LwqIt53I(D z-eAk=yp(3Q*L2N7N01SxvnvB!EAEyPNt9SsCf?Kw)VRZNZzCZb?DT(+X4kXnJT}1W zojoz?tBK8jwE>1ZHEt-y9x*`+WfSXdm#w3N<_FRH+tCsqFmJAd6Gsy#cPBx1?e|N-@5RGDv#~_$peUSbgR1_sprM000%| zxS;`~Lm{#ta@XRzrcbW1h-!YiPr*et7+sZO;u@Xnnw75Da>~~9I&DqW-`MuwI4_-M zIGwJvQ>4K$55Yh?;ZNb1RRTcV*e_XvdoWWRG-n2Zspqo&Nh)N!EaR(9l&I- z4R*nt9-F2-RtA&3?I_sFW3w-Z8o}6^wvWU}v~+WJ(3G1m=u^k_##rSsMirzD;}ojjBCEz}+7g z;JR^97OpeOV;0Pk+L>e6(R`>1*E_)od}>ECa`#QcQXWeL#!SGsVZn7;Ff+c1`yIr( zvl|vZeQLXgGB>gi%WW+=r(-4Lgps|!>4RbH#HZt}W+ z0$jD)$i9RpnzAB40;(%mi&7p-oV<)*nDUqpn)T;fhON%!i_^+<8R(C3Ym7ZA*51P` zPjL#0SV-Eh_r;wW?G$pia=r4Dr7l`uf!vNYH~CqkBJ|;b*PR+}ay2B;a2ac#6mmCN zAxCvMs*t9@bU1jV-}9LvD}W7+9`4s#|c@7e;OmWASKuMWvV@=m7aY&_L2MmhRq5gai{hJCGkk3(-ReHOjrH>@qxzFnc7B{)%C12Oe+Ol{AvAV*c zX)y-v%qP9c(35nq%^cc#W~spw=%BSnnYC6FgHFpQYk0zWw4wYyF>c|z^`zcgc#Le# z!$7(D$vL$4P^ch76VYrImZLvFJulL04n15f$!f1vJBlH+xUlq-xm3E46h4r_Gzbu1 ztCNbgJr}O}{gW&D+w~ZbRpPgrXa!#Np;609Q@)nfjDOBqf$M<08iUl_NufGghE5>THDKT%58mm_V2M zJ`&IZQby}NfD>J>fi;Z%2X|7LBR=Nlz8j5?;-OCd@(DL-RB^4$riT3O#!*xa*nGX1NNT{*1*J{*~c7rCj1?-ky-k z|L)o7wkJSL6nAsl+!4Atv|ww29BVW}_;WM>%W40t*UfG9C0Tp{`Xo>u;#1PJx_RwNqGzYV}+**DjT0U=c@cRGnO0O0?>8b#qSzDV#;hw&(DL7Ozcc+MZzD zOk3OCwlGcF&n!0`P8SmD=KcdxI4=JV{`ClyT9`Mz{jQniw@ETM1@|C6>(}A*o+)*6 z=V3`k^a$=ymSg>nJdEn9o1L=Aw*5E_M2=12jToJ8D$p#I26cLTHSBkZIaS?FCEg7@QNu?tV= zd3?8U{z}vK!l;!HxH~R?qcn@9a2whQX4KDuJ4NB|)c>GY+vm`KkK?QLY4M+umc>iB zi6HI8Em$Ahf1_pnB)7&!tp}rC0VBVLg>UMQHfpmv$^7@RQAKS@g2MkRg1t~J-ONNX znAnsu&J!VD4&qcYtB=|E7umLLbD;wMvhp_9&7;L6H#8A>b`z0s9jCd)b@O{>NroN1 zL3{{@gVqV*@K+n%{8Czyp$QW;O@tz3>1MEI-ka~$Hf*l*nkL^?oJ}{!C6L^>iAZp- zV^~%I3_mulkJ+YzCZ&4X?0czJiEorQWeoo}sO4%p+~ba@2JO7Nwy+go3fCwE=~PsZx0!cS-ISV{ z@oSW^SoH>n;S$!4$Ep1zsAY#*!fL`|fIbT<{>IAd|I~}10gWV?EiIAbgDxJ-{qWZt zYO6~!6a%wnAShWoOItPj4HZiw$#%(I8jG*lj}{JfjiB7Ez1q&p(zQv5wJQ`sS~W?= z{0y?S#|%>6iN6NyeM2KMOEN@?<0$fbAS^p^%NyF4R+0foDAx@6-4v%z>)%k_XmaTs z(fM5uL+uJEP22A6rRS@@k!#KyW1br2ikcOUzGUUJcT{6{X0zG7*#0Rv6h-=P!7!Ge z1K}Is^E{^MJ>3o!&~hP=bKq32>SGG%FUf!=$kz~SH*iYQ{~`VUQX;ow?K+!9UjXiE z_a9PNBFQa+5A3}dxiuEDHcWa*IU*%Dq=};|I)2_B=iBldKc@20RnaE%2Dhb)&6tpn zk0^ErQd#Qfi?^Jx&65}3wO!R`QfV>&IK-Z?M zrv^LaaL#tg1XSBeh^Vi(*VD*Lk_@wm4E+<;b_Py9{768V(~^t~6{_a|z~=l^m z5y5x;d2yE$F^tp3bT=scp==vQ76*IU(p(&mdi#hs)VHzZM#&ZAFa*;ybczE@-=O&s zl6zj-=wQmh?Ajb?x9>?PUAgj|%%)R}-&JqW1hd^RX4WS(UqEGZU8Zt-3mf}RMLYBq zEK&-yl+Q!z(Yfp=#2C3~foPlOM<(Zh%@uc@Bq7F0<`xNj4mKYN5ucuhQ1AA>!Yp?G zT^Sj`ub$Afru9RpO({vXvt&Dv@8*+!qD%vQ2`!Gyx=$aPd6949{+9EY^b|XDpU!rb zWIF}-z}$F#UrV#-GoAY;$#!k2$bFQx8ohL!1P`dvKuNa4VJFJUJietwYc=*W6^-<6 z8%ZQmYWC{S^mlXu<4bXT!4&xqMAXR6pJ{7!hTc+x12K)i1i!5P!)36`IE znZ*xLSO6}V68V@OJe3KIzlB?{v9E2zDPe;rv?h-14eYklLWguX`Hp`|``Sw~r-k&{ zCH|z}=acqw$YUyXL<)!1gzz-{!IE>;7EftJBgt)BEe+&O!>Q4(r?mGENygve5F)B0 zqIUZ}rP>wU-29cMJwmgyfjrBar zwie`Qn`znsMy2~>SW`P~4D+u@FY-~Cw;YxwhPYywBjZTnC|n|mKcJjqNM%i1q?_?) zN^U#9#g2~%rnh5tGtMwC;bPICAFlpqmTu;rD9N^Blb~24*!<~Y-JCF8l7%OrP8%-R z`X*#ap7JrwWvL~!gKShWqZ)^HH)C(1OA|6HR&H&UPpSFWXQPS^dJcLSUPspSiBG;! zwz|?|%pxb6qtP~W*S5d?M!g%l{f(xz?l=Lc6*B5ERbA(fH4wBZV4TY*eL;_>bhDV` z26ADV>4=1n%x-1O|CF-ikz{*L1e@X=jGA_K?qkxnNa2DLU)}_?f(&0j{_QbMJtN7s zS#X`+ozK?lR!`{6b4j+1Dy|c<@?7{vud2wlzw;89uo{Mm7FOr%dfw&jNh4V8)$rSF z`AJ9g28H|Vrk3}%4x3(X!N`w}jL5_uv7=}`#R+HWDfxg?`9iP0YauW4Bs zxi#5$D;=0D$z6He;BS8!~`(jc7I8FSV-YliZ@~@>jNq&up zrj=u8`n~aS%zAzJ#d1AA8Yk6YFaZX*+1L!$;pb5_WjL*g|CO32kkESad`vj> zUo6C?V&VGq7vXd$V+QkT$prpUYKyvm61Ha&l(`eL6PSO$Drz>_aLm}o!#T0U9fWaU zLEsyn^DxEIa(R~AxCo|^aC)I@;k5r&PR}%0f&P0CrpRM29inDkBpLd!RDO&A{r6@- zTbeGM=8TqPG$syy^Hz|4n33_MESw&^l4SfX$+%FMB}Tf#RQHP{`}5pD1XDar-1z}E zzS%iiu_;IXF?#WwNQ_ehaq}w|wjixhgJ9ZzIJT!^DdDkYXlnhJm9%KNB*P`5?d-Am zzn1(@-&P@*g7-_Z?NIT7-_N00sdENX%WaZ8lMA+RNjY|wz7)|CGix~Yd6C{sU;DVR zSB~d6n-Yshje)z+pBrN;ZbDpL<$P?0V9A3a9{@4E!Fom^9e z&3hmr4sdC-2SwG>oHa6~1#CW+az~}NLsM}F?4>&$H1l|58{@ND`kTjOEjuTfmZQTM z8q0|Urmnm(Agnf!lhI1WF3^T--b>2^ncM%R5uY~(M5Mk3<5rL(FWsO7rDc}biv5i= zcmwTLKD$9aRoyv;MzrLJgq7(1OLV?Lt*)09irMKe!VI#kL9RD6KZE49)Bkt2iN1@e z+WN>F^rVI)!{$P`_`omJ<5$n7y+P^2q;T6~=^(cc{`~g+Iz{gGYWoFb2mtFsZqPHA z6h4IqqGFdRib;B3Xs3*N@4{Xqij6AvOFFVytjADnSJs_0Wx1C_gCRTz;yPo;owRkQ z7r7S<_8zB97q(MGc}WHs0SL_U*wk?ct?c0@i&*2w?}D_-h_(JrchZ|}l5FRgKwD1pc=%QNd9O9dvE95cW(rKldnM&SGDsJ3ycF$T70r_I{MKVHoA+*m!^jUXk3~nA{L?;~V&G4H>z81#;V{ zZgP`u2dHV7GMPDMw3(=V=hpt{3!@sIR{u}&_N7bwe<`b$gN)D;)dM>6d0%+c@ zd^3fgoLS%t5vdpXLVW=TlQ#U;ck(4k7IB7l_h2y;uiMm%RCz|@vPiOR76EMx{IV_E z6S^~0whe9}p)b54u1Uq4Z#lvx*={>8_x={YvygAcTJVH&u5fb;o_MH#)v;sDd)kIe#MDzf@x|#P= zq$zD~>n+GAiW4{gUr>FK+4AGL}j$#$HV zL>kQJ^w+<&3Z>YyWy#%`CK~x6!o^d!Gp0|Xiweh-{!Yw?*%_TIqk0k%icPxm?lnW{NFY5W!&9TfhDf5~y z=%BGeLCem~=-FVk1HT@m$@9IkL_KDvX#v>}QkC(NENUg%osTH24u=kGevncxkYw9q zyyef`2>z_p=^%Yv*96eF7*q=Zd1bK2v0{#TVtW^fMbO)+3B(KNn!s!wtA?_M*FHaxl))6~A~EbAAZ zy=&hRETIoOyln0^YQ`8#=;RhjUXVY!2X>Q{@V4K6H`=mrcbtoBvLzcrtwzcH64*f~ z)-4H}D3aMy@!Vw88{q- zS$=)PjD$d5e0MXAn=6wDMivJ@XU4C}#m%|zf7?u5yGb&}4ubqUxWl*y)<(zKLQR6* z87j(Nv=IKU+mg)`Hq0wS-Q@bSH`A6tNxu2tx&P}M2dJG(GfSN5W@J*U?}B?K@Rb-i zh{ES=r#+)%`*(&d?c)d{$|shO*=l(abJ5jX#w;~f6qhXJG_V5qgi`6h5}8F?4Kq5j zL){7d8N$D{SweaJqo^8qdV~>*+#wq)d4R>(DF16qm^0Q z+#*`=Zf3nB%5vH6VdR%fl1uR}ljv%~ZQ;tHX%`+gb|}S!&Bf`={BX(@E2mJ*?hV}J zxPOP!hL1UZHaK{9_7DYhkZs#>?&e;xA)Is{DcrW2AYVtctg8`De?R(>@LULo5_=BO z+*VR}Jg)yjF}HAa$KmSGseblrPHyv@LEnNq4%@_OKym)cM-2=AYm`$UBzo0>3(eM2vIf}8kRTiJBz6qy= z(Fn6GFB&z z#l(E?mt0=!#E6&Goq6m(le!bjz{-{v-C8kbC!rsvJV?o zbHOY{SInf!2W7dRlhrvm2tj`T+cJx}I%h32W&h;8Ywjcfd^%!R2Z>@#ZkOso;0jQUiqxjFs!79egRQmcLsJ zbIE3R+v3Z|c16D0FQ$2+qvZCiis>Pu2*ofDj*;Au=XR;cmu7ilmSV7FruU|E|tyAfja}df+O6p%VC8m$^nd&QOsCjSy=!vDQJ9o8SYpIi&=x_lpuGOR zl2YE3WIF}Rz@ryo#2crA`FF5V2x!$++fA_?(-Re>lPjGWytb<8=Ne{Q=TH=8d4R2) zk#JkLumv>u@JlguussIh)M!!VwN!r155Y6TWcY$A@Qqi@tZQEl)gg8wd|AhW(R$mCW z+ZL1uEL_jAGb+wNW_*G(@UM|8r3J0#1YLhtuQLl5DqK3_;2-x#59m zr^D3!U24INzlF^|AV}w;gI>8LoK7E>+^CX5_;jq04M+NS{d)ryjv*IJ?H*X9%N>@b zg_k)@!yifEE4g5ciAOCCN_{>IuM`_Q>blWhEdgbMVqn%vzx|hfpOMJyTw<&dlMA+p z7C~u+nwoU(EIP1R#+u!>ATMUKSk3a!qJ9G;xu)6|6l8IF+>HnS_tKlbNzWM>)V`pb ze60I6dK%b{ZQXOiRw+*yda)`6o%R!{|P#;*0oYSjbJ z zA{e=5L6U{e;1)*)z|TBl3sp)g$(@u@)fMhg{5m$wh*GOHP0+TurgQdCO4Ki_ zFsh9_3xl+Lu--B^T_{ETDap1&$Gl;eynoH7bW9HZLz3;9+9wG!CI|P;>7jIYv6paR z{FhMEH9VAN&68xiq>P8~W;}AzhrQZMIonDy|9p{*76E!CY@_D>c(T=gBZpgW^ruQ(*pO`W!)VOAgL z-Jfl&k4Z9t`lhK%={n9bg4AG82l0Pwn$%G;`&%jPhz-u@i@t1ugYlyDh3*ICp5CvFo zYjfpGl%#Juvyp#qBQfWgP^>Og<`>BD-SwF#QHdDRs3L}-6kfp~Z$Q1-b0RGdl@rbW z3VAY2Xi8z=)PL$Fsx~&A*{1nNBe9xjLV{KvE5S1taO(4meEY>4ZG2JrEy&`uXxMx@ zn^4vkv@QA)H#yOa`Lrm7BqJQ$6!EEOjd^r~pLoI_&AGO?x6cH*qjs4ZtxRVQoif5W zs`QQldv?SS|4^RglxT}ww6xP-%q)Em=swi6g577gyz3w&Be8KPH26R3$t zdl=vtkGQnDbt37`?F?pu?O{fB6>IY`$>p-FX&ZmtK{Hlr& z=s05+&3vEIydK)oxS%q0>}zHe&2-wx@5G{AbS`j=QJgc>Yxn$8>e;mJiwtDjECn$> z-+=LXcAwd_p9==E|hK0$tIC0V!`tqXG#&UZiWq!iIb(;oHIfKHEa z-M$tlsD>qHR5o`^H2WF=mL%RqR%a=Et8etECkp~a9E~$>p=ss5(#Lsm{Rd;*jbk1A z#wyHpP4|5s-@GulxOq5auTfHYYz)F71)%*lCzN{E)Xni>as2x!v$Vtz`X++uWrc5a zrfo6vNV*Zm3LYzpamz2LIxdbaJw~EAwEn>hF?@$v(6l_(1Nm>c=TQ5oACbjH=L*lE zIdP>!SMxYkj66(?JPxt)+M)t+%sGpSn(6y2HF~SI&tl|Jl7(xFj|S6#6EeT;UQji! zX)js?lfKqn9Rvk$TGJLFRnANbruVzN$OjN>?J#_wzBQP(L{Ha_xt!gckdv)rDE$?n zn{^Uba}S~2Z3lE1LMNsi7iLMqiixhK6c69E5l~EVcS_ycc*BZ`ED4L?X8(p_^^Q-V zVw+@!UR4=70dda1VHBhtt&qUXy)>^$Hx3&)RCQPyi@nhc6U~locT(ran%Vy5Xrqx5 zewKwx=fn+}LN{K>l>>Vy=|)~I)>3-1mmjU;!ycu%$%ltdp~&2_XkK8mcoofgId)Rg z3!0fD$3Mm$)wbaN8_~RC>}>LhCkI80*Kv$Mv^*TRo{sO6(4stoNnANB@oX|4%6X_g zUUSQs)nq+gUoW}q&_DK#Vq%Z%h{HiU~a`IQ2 zKmUudRpoaf+ut2xeWd5>{LRs!%g>xxuMJe3w$DYFa`Pg*&$Q1MF zZGTCQ$3_)xcLTIhK%Vs3C#usZuX#Pr93v(Rch9J5z@bHREuniyq{pDQXke-E20GI4 zeoHB+uk@JRc1w8UH&`vSz*0JqRz{0wq>A7;{CYcm9U!^;21G+!f>9*DgqVLPciU;# zzSoIGAjcA_^66KMBKeK_{7j*P%r8ZgM;oBRl86WS#1SiS#ZkVZ8|2LQCQCaqr6Pn3 zfSyj_0xKx15e|6v#&VKv?o+V9Bn;1fNanX)@{T3|{E*z84W!j(s_rBgFRBGK3*c|X ziqZ=P;L&#MqtS}->ooXYDsQW~p)sHJ(7SCrkn2IQOswaRp=HI0=Yu!5E&L|>F`u7u z>)?)ylT$IuqEjV@M`shkWRAA&A%U@@$AS8 zn)qQ&2%pO&JugiALvEh$@Q=cHbK7l;x5}J>oF8)M;x%j?4|sc!MRI@#Hw9VZ8EhxF zZI*;UB^>laasiZ{qg#A8Rz98hu;V2*_WW$i9_T=Ja6H4C=x=E zyJ1hO+1v}!3)aWmUz|3#gJ}iE7)1fVNw!mP52mSsT-ZF2my^JFK?Q3)crM>VIR7aX zi-qZ0QE#i+ITnHZDa*4I58=Qfjt@xSFj&b64IUKa4XlIqip}D|ZMR(=HHv>)#nY&^ z-u#TUr9I=52e;j}0p$C=6|;@{8an*JEqHL-ZHM5(v)*lwQU#kI7O=Fm-ey^$3N~KG zq47`Sc)G+!-UZwnk%vyR*ljOD+jaTmVKv+4C)l<6e+stk??~)NAhbM$+h!?*Y0IAg z;A~sX&b7gyh?jFY$+mEqQ#@4f0ZkM-#;i3&N0pfM_GlYmK-H3Aj;=Z7^6r*NA)4Tpn% z-n%;3!Nj}gnl=BYRy)QZwf8LB1Dc&BMVVXA?;UGpRRa4lXlocmIHBEF34E2Q`WE@y;A4w@<7?ssP>>pKzridHZB*mpJ$pu>3|2$Z~Q6i#SH3ct9@?v)E+u zVVHM4x5>O&rCE3kW|#9plNJ{aEw_dm9XP#@lMP zzj>XB-~NJ=Q*WCbA6-oxZ?mAHFo&k$H_f%r{Ldqh)k=^y0^AK@c&BhXiONBYXv#^p z9m>x^TUv5&nHR1oya+<*U=8?WlI`gzBiEqnkXp$fO) z0nH}YLQt0WZriR7xD8rA4%0dHMgWTA9*T$fzmHBR!EBGIcBPZ2G}FvNF~ zyQmyX1B3C8dHf_Cgz%@{HPud{%UJ5|fUO6oaJw=YT*|GyvAl%a9uvZaRh`_PX6fO* z?qGY2XKF!OIjmGWxkYM0@F@sh!)bRV;N-RgS&;w3 zZCmXwB4HQS$(-D_OKu^*d9~P6F&kP+{-pJUbSJlMwW^?CJ;sA51 zrI3QLe%f{`?D-vloQK%T?vbM z$X(v!RNJ9K%wV>Hhhlbbfb9zT+6!mfw$)Mt`9b!thhoJ63&#kM#qZTPxd*Afgm>5Y zojKlFvO@JG;s%y*CVN1$9V(EY;i~A-wrv*ip$D#Zwr!J}!)oJr(DY;BKrddRJjZj-OGYc=s&Iw#rAlBdW%F*J0NZJVdVdIo@cK(mpH56D1|wjoj+DIw=O z3YAm1uqEjHbTM*nZ!{neC%0|X@<7g^8029VJD7y)j(c~pc7}Gx zg(ZF(Ey6zHVrSczSg1f$7sw4PvOCGPaB+a$igg3y^U&<@qCH)E9Nau zv)GD>>zeS{!)i8nP5z{dpEGcB+x|w||J`j7h|p{wxTF<()x)S_OAcgl-1Hu&+E$wg zy@z3w%-ME-RoCKSV1k$V{U7q)0?LXbXak)Ki+czjAi;MQsEdT)ZUGVq!Gi_}65KT` zE{iPg?(PKl;1=9HI3ze^f&1#K-tIg1?j^kU|L4g$Z_Z)Z-J0$$uj=Za9v^V*Ly_~) zma_iM3no5e8`NZM`OVq6uR2Wf*A|1Cv@ZV9qDBwK+_lr6Ff+FK!3T50mVwMQrP5B+ zOrTP1!`%snfA>P5X>FXQpf(i;(>Pljd3T3E-yn~6f_>Q%Wo%!j zMgbdLh1j-?iVteWxAa8Ehu9BRnNPSxS8t@W-d3yzg z&TIMS=f;SdA`#7w&YWy9`FT8G;pTrnWApDyV!P1!A24@kYty+@E$;dIHPd=GTDRn} zd<1Ifo)^KxR>w2W&W$p?21NRDf=%bSAnF_b(ZE;Ux&6(6M|7y-F@G~ir46_RAnQNs&jl=fIWae=H3Llm`v}xn zD6a|d%V`YuQ3q2;md+o6htAG@F^@8~F@sN_78vYHca+YJ`3G)o=nf3_6-kuNmwz2u z^9aR!?1_J%+N;$e6f3#OIn4QR&DVgVbS{4ov~c^s3N|7cfgtn>0(6SROjA2zxuO=& zHhm;!eCk@L!#ICss;cQ05^DL+zrQ>j8OKTi^AX7DoMRiG+6I0C=In!-vG1AKLVD2O z?HN`495a@+Fj!~jMwuSL@?-ih#`wy+tiSo2)^EkW{pVwbEd#;7_x|%SlWB^ut|8%e zqGsss%ej~Z-uSS^(EWEn6Eu3BB%leJB@OO2fVTMhwJ6FItOYt6irfQqYRvmr8y7tD zuSl=h7K)sdiFf7vkc_tPPvV|5{;E9*(-B%A&0+V)A8;+k4~1FdtP!Uh zQAn$mvS)DDUQeHe2N+U@w^CY}_(Pf2ntVrde${5AfKw#5LTh7do%hmXPq@6(-l0#u zsf)5+TI@<}R$~uk?jAwb=VZ^*8?E!PXsv~bxRc(=I?;C%R!Qd%^w@2XuMK9dxq6K` zle7Qo5d!X%B692}=$!Y*dprYQucZRB-_gzKbLsO4qSw{QvT#CZzpQecqLZ|ONU*v zA4lybi$w9y+1hxA{}EgMcZB`#m}-2>{|NIOy48EUs{C=TMMqWO<6zwjzl-e9)c+k@ zKGAwVFZ}(7I+W7tPyy+26wD3JtHZpeA>KGK57GqTB@R~;#2Y8rxchZT&7*W~;uwF! zIMg}`zw2y`03%msEX(DD-*tjNLHGY(!A2ySd%n76L=qV68$;z8C(l38+Kl}kd*^!j zYl}%lCnL;>fSjGPf+-!@i*ciX&5WuLo&(tBY;91hi!cH|=`01qE$a`l%3pGp?tfHXyi5Loey$z$ZHgxm0KHhl;AK*mI5Y`Yhjerkuf=x2$ z9l;6y#Mqx8%#0nl^C11_RIKMwC1%FH%eh!oc@O(dKKI8P*^#Pf%vvV`{PX4Au zRFN2oRlz(@`f;{4n))v%xM~(e6lX1O+Z>bLA zonsrWKN{NzG;r&3|81eMdGYN(<_4c&i?NLsm@2;a*A_E_uEq>f3qel04cAJcwinG` znLa_7k#~0RoZndTRVIU4IAalYY-1&BU`~RG6E!n-#ekR_GvM7u{3Sa7bk11l{ebfl zQz=Rja9(0s2Nvijz<4i1t`{r~S10VKa1v&?_9u3O4@XVL2{v>Wi0sAJh)nB*Mt08D zhAq1pN!5W9Y&tiM1CJdTY=WdapvyI5=MCt3f;2rx{wK+S$(eL7zHB+nb>#n;mmK-; zDENua4c7vX{l5bnw!AiH4LBucP>U0g=f;Tge8y^a*x&q(oCd7;LepwI8igjyZw*3weZFXHk}9Nnu);SSj;@*kGau6C|y+VUx0H6KApesZ=p5~~>bn6Dxm zaN>a3-X~m-q1*KBW8Y>D92jhP{{JSpXF#qc(=l{lK(YJD1E6NO7KFNd6wD3X(8;J4YC0E{jndkn76BvTeZ#&{(1f`q zNKuC{<~}AkiOcKa?rR`L?Z&Nr?EB$=r&I=W%{^ZeG%hzV*kI1zY~{BVKFU<^G%8z+ zZ;=CzKF${Yh##NY?9Uc6c3{*#;c^XO=eaasE%Z-JjPrQGNLs5{U43|N)Zq|Pi*(Ql zrxBU4hjLZl#a}S=6^X(8M!;ZUOmJ(!C@Al2gXW?r95(gmxv{aBaQ9RFxn?jA%r&#u zqBM5qH23e^aBUlp9W{m;O%?V<5vV~;tOV(JV5SOx(Ar0l#dd(TSW`^+04LA!yIip` zVHGoVf~67QKk`DVwS_zL8QXLo4-aBrkI&dfVpF-!WR3P`s_7g9sLMIFNe(p77xO3D zfCGcW{@Z{JYCi_7aT%U34_F~H>QD&by}rM^jXK2TG$E?I4c%K1iDvt=#h@0Lu>T#{ zq!#_Suweb*kGT=(eAY#)6Li8U5(D-?9Y*raM;(j-%MWPnqYlP96ar;v?bEqo3xAxn zkG0gFEoN*t0`wuE??lZcWH4`8!S(?giCsbGxv&D~Y;8I(h^pT@OoW|atTM_q35$+H zp&d@JaXr7{Aq?fi79+7e7<)Gp=ED{PMq_n3JO6|k7){k}eNi)X2L>Cu1A`4=`vY2u z>AXNdD={Jo+__Q3z+j^-fx$-C0)q`|Wf5X4`s=wVYVc=r0)$w@)XONmuk%+$!2G+7e#|c{$^md_1#0mG5%aLBEiepQT>|X zIW#(I-eSPv0rOP1_UF@JCBORfyxf2LbJO_>c!wPR6E03i)O?Ij+~K{k{u8cGD3ei# zz&r=FUWokUG+;Bf_ZcVHU>+F!31#{O*i@kdcW$($K6V_Y^Ov{5{A3Wfzv(X$!?oGi zn)s!EYl9kr=hyvPo6g;=Yl;a@wip{b!AcsnG+=y7mVku?lW|3ZaQGM_-{jw9TrT)? z8QeLxk@q<+Qd{>Jb03FoFb~XB!GwQG&Sp>M?6HCn4IDXN( z&OG*sL}qN9kz_4_b8`}A+}cmi9ZoSmCFQF=@~+*n(1 zg7uyuMZDadV93bVT<56gp*z$rW(K26hlO5ZN{Ds z9HW3uYk~BDb8}*DP!nT|(%Q^RlEX?K`0JW+*ou1Got+!h0)x%ifx$-Jfx&_+K)(iz z{-*UY9>(HBkbKp_2vm@WLbquRH{p?t*-4n8JDujakHm~JJz%c7F8OmUT*uIT0c$;< z@n%S=_2dd@p~i7l0%~*p2{XQ>Kf-4{f4^qT;3Q(`eE-(QamhI$QP^T6hM}YCnwhQ* z#Mu0;J6{_!m8Z?SLZL!=p*H<}5tZeCT8RP0Q&{jUm(^Uuf`ApIq`MWTR{Am8J&andxGM zQ#PNHt*&@D`Y}G{Y_ChLr}$-GP^(&(Y(B$UT_ad_7MCvg^W`h<(B?R)-Gj!Bw1ZAY z9y8k9tn}1hzk^o zBj8D~dNRHFVHb7$ae%#*QIjKWy<^utG?G>yRfp0VujmC&eL8{`mQi4Qe@D)&^@25O zSsoP$9P1)*B7q)m0%#>&TS+kHH&5Z8GF9F7md1WDe|GNVJ%VW#u z58^@-2Jml2B;%u%3f`vK(h3UdGa*w zIhX6%i#1!yq2^Hn{-<(=FnSr^d#W@yb6%f48Ag9Rx-AlWq)|%;tRkRHTF;YZs2^M* zTV%tmh-fhoV&7aVLQ9LMqj}d0*qu*AYReYR?$sbM&h?`sJr_&o>v>D8R~=@;&}#KN zLc1GHrYYTv*xY5Pm#K|lXtlm*O$GDyk=9qZwQ#K;v?Vw8JLuNs+gIaH4o9~B4cc<- zDc`c~=||%u21#ppCX+uXA{yW7M@y3r7H|Z2E><$!)b4fXonjsq)I`@hfuGWReTH%L zG~-@rZG1~4clf^Ax z_$-?qRoiQ~)xJf1^*Z>_E30-;^%$|;-*;?hr(=1`nYCGEI|S0MEHy?@s?^H-;qK*d z^(rv`Vvty9hvC$$u{z+q1anQ8nx7B*avkH}3{!*3*A=?ugyRF)Es>j@(S zwL9^KR#X{iFF6{C+7R&k37lrJUq@2%sY)c=#9tM2E|^36MyR8kcksq+K`jrk+QwWn zR+2J#9h(VL@*ag@`9mEZ&#l$nXlGf)b0m51!H%LBdlcmTct>|i6so|i9?AqeLnK0^ z*7K1CYT7}p0HREMz`4iM66PP4t3SPcsCJNpsnVtxI-h{fM>Xq0ce*KXMrNuQkvt?!~#8_eI;s0PLCk&{xT8fll*eoY#lhxzZ>Jc^z!IYfOYJhs(`KLn<4 zw@yBzxlg`ugXi;^=YG*(L!L=AC6?kj$npEeK;~tWs9OgG{)u_+^-R*gW=pLYzj@hG z1P+^zQRCXghavpoWB!sCUnb)k4*p1*-SF;Uns<4UfCn;Dg)P(JC*H$=b>|^pw!vp! zLdC|Wz+rd5YS7Q=hEl4SqfF<1{-x%UAyjmYdYOSwWcnD-x8^SsT+xT;K-TIN@(opw zNJR${PqO*LVe0AtGw2HQudreTEo!r!Mt81epU@UM5-$s6;l5m5TK!51b4->G7;M#g zE`2nH#J;ONlrHR2r>E<_BZt6$FNzJNzAF^?zUFyjsNx-XMa`B+uY1@Ltf>+Wro%g= z23sa97)+0oDW0QqzX5758%*`mDKIbXD1Yu9TzB4MwbFAxZCNq4Ki%r86gfcr&bzNa zy_#ph%0i1Fos$uC=ZHk?a#bAL#X(5f%i*bAsKM8&H7wQ-^WA@TqJu|OB>GKbo*I#; zFnoaS9IA-U#X|2O677Y`9R3hSA!!ud&~q=z^3%iL!l>~dN);b-cOS9P0;yL7H;Ae& zu5*oOX^q@8ZSH7{?SEw&GK;>ed4$TgUv3ZA+EO1#e?V2G{;YXaWYKwg+bhhD%??`x zItNHcLsjLUf5NFpD|JLUbli_E8CHc;)gKl3hSu}CP|}vr7VTT!wjS-p{1qJb1Zc~M ze3hxdkYF0Jb)|hn`<4|@(xKeimF2sQwBf)d8uerJkOg?%6xTWQdk*%zdd9!>{fg}J z9S>Wd)E|s~ISGS+4Hf z{cNk9QFkr~BuADTeaw3Lr^!27Q?jr9Id?AdjtSSZ8m?#0y%{vUo^o6>wYHRD{<$i{ zBWrDe5t)=~J&Z_3cvd`&?!Fu?z6E;j$MZRZ!swJeM!*Yo=k38Tw^J4EE4^)Qtmq!a zZ1J3cRNr(9qv$P_DhA1LKDRFMhEu#l3cQ(H3tRZxDWR?(oSj3@(Yeq)4XNZxbYA}T zV48ecwT@u8PuG@pr{WMQ6@Q9go`;o0^n9S1&1ts8zaA>NqPX0iPzUL!9DKNB4vfLOzEfiA+?L3a=2@O7@$Cm9cQn_4Pr!>vy*?aigllFQ&d;bQZ z9lH`;AM&oJ@Io&rsQ*iQDhh76cx8`2`G`fw0oW6@HN*8hquP}jJ8u@dFSQ+ZQY7{@&o{i0CT%hlAyV zN~UE59L&Njt%$xXOlfQGw&Q4jUI250xbWJQ+ODBSgsmPcjP$c$-{CE zqC2_OyQu+khjYITqOx5Scn)_iMr6cdI)}rCXY!MG^{m6Gpd(+ChWSyYLNvE}1`Vgj z?^I$kl9?))59gR<9l?`Y9gZGr6ueYBkILXKeA%$*BJXI#vYmRETue=+l_%x%8-kX%kE>PLkw-6eFt?( zroa<4YT^8Yb(P69Q^kaz6$`Ga<(-lHaPhnGmerzUL0Xt94Yg|YryWhdO$_dODg^N} z2Rd%2eLugX$&dQlFSNY5D#=G)BJy->Ii2E$D#wLJBJU2&ME3Cf|3s^V32Q6%|rT1*PHj;y*~`_6zav??b1YnZ5_U#RK+U<^(FX{P8oT$!Hf#eUE1w=3Db0c;^T$SuC28#EfQ~QPqo<;mie}b=mu(Dy5*VB zVlyb-B?X@UaghKuBa&NCrop&r?=WG)D*Ki84rvi2yW`f!J&Wp{xkVlRXlO?}6{*Nx z)Nc3bNA1FtPi5uuzrIV?pNb?rB~0!4anw+Dyrt83Zy&nsxUWd4Jx$x#8a5&oY8tvm zQ4u;or@Jh)mvS5v$Hkv??W01?gs_zqcY*o{5GK8TSNO3NbiJ_xS7dDw-?9T|&b#2f zoZ7->pd5AytcUX6pFY;4>7(fK4@w=-xu3Soc{GAn44ff!pXENqVP^rmc}DDw{{4o` z30|pv3!nAJUru9-vz8RDNhx>cr0+7lv2$s(t8n!99OLM39h>%&M&?c9PHcN@K9E9D zn+FY-q5KxS&vHM$nB84C*)dzzmC(a-+<4j0vet!erQYs%?pz-h*z+{chcU#J41O(m z*%BH)?*F&vPDQ*GRUWy7p5al;fmMInC_|VL!uhReZjMrvCe$s+Y@W zx6ok@L#u0N`+AgV&p~0!O_rnNYk$Ia1+HE0iuz@ObLzQZG0^ZEKV$t+>>H}Zm4m{2 zFW8T@^Oyyih6D4k(4Dm6#w$89IluiC89X#85i1 zgOUw>McvBB2^oU0=zD!vD3*KOi*MYizSv+d(_HgDvL^2qnpW9zFZ-dKd?s5|F+P+j zE7s>@uMDMznN{7PNsh=kwi!IX@R-##!=+7hu`_>5GucQxp~k!vlkMpX-b|@9k&0eY zpR3ButwotKGs&)R7z2++tyH}(_9$*Gp=J}%~~ z4me>q(<0dgX>LN+Gq&kEI(RLfJA*6KKF>2rVM}J9mXrTkttPQwYop4J9W+}ma3pu# zf}s_vvWHT-2&8&q7J5Lc?>Ha%@IR`ejY-7R*w`g$Z3EfgONR#MvV8rM1QwoKDHCLGelwmS& z+eF_!kLlhzI%dd8t*ME@Zdps;T_~=*=FI}`;W<%63(0YQ|)dnmQXu-$8wUcl9{#Y2OxbC*D28uC6=(5ng9L zlq2Jdk#slKekvSiiQPkYeun*}XFZ(evYV?ZR^)e)wJvcheMM|za02C#O;UsvA?pd! z>|8}w)83n^sKH90wBU1S>Srjy=PACL-rQDTbS?uVz#4QBIFHGhSJTu>3fz+kljPv} zKiql`*wXdYX4;eV6*cTR(Qc~|ngl(Hjk&@2P1C7mr?Uj6{;Ku7Ih6Db<_6Wi8$ySc zpQP#yw%U`JZgD-InVmUziXWt)PU<6_%xQ&rh#tkV|2oJYgjHaczXJaaxAzd3{}O8p zHQ1@ZFdZSRKNO`1lGS?k4^o{_1x~?!O?+w?xZllR|CQVooOlWGMI&=Q*6sp?bDt#X`+#+@JJMiH=&>iF^VsOj^Ku-eNpe@b!%FRuF@Ti?6&;QF+(BdQ zF!spe_Ugfv69oDq-aNY1T={dcXfzB(c*mzwT*u$drKXJ(c#-ys8M$9;8WZN}am;pS zh*8JA@4+~`weGwoB!+a{ir#x36-uGLdv3I4E}SNYavk3=mzJJZba&*=#SC%-fu=w} z)BWseKD#$}E;({^cw{&0qxGWY5bF6_d55pqr>gi33pfIvYnL0e_y=Sjitf*0M45nE z_B36n@>W%5Ht(+SXErU@Wwd_yrXzh*K!Go_)0BiP8@pU(5IQ;dWp8G0a?lc;7XW8_ zy~s&(b4H_vF{axRfI!nFSjia{swe%ItLfm`!_>Fr3NZ^n)wTu6j8-L{M#Yz2r+JfI zA#JtGoym{5wxf#?-<_m!yHdGhm5LS;s%JH+AdLsC_O7_o-%*~^A?|kryW1}~>+u#; zrnAa;mNgwq75gbOfKkcV-dm^FW2r$_Wd^5nMyg^OIIfQT7N~Xi`As^qDV4iI$!T_K zHbLq9FF39d2uC^dt)qFzylzkWEoojKt(;^g72c@SAwrKm2KyB&KqMip){*@{wLa^P z96Ndtcf#c~4q4BpQl*L4src{X?Q1VdEl{7#W_btq8H++jWB9vR%Y?TSJ$Kx0U z!Y**3xPMYrX2xhj_b({U^8_K(YS&~aojajwxo4Ra@oQ6%pRYn|XXde2XCCjlQslaU zIIA-cD|oFp^Z1FeQgjFHSxbw5envggzwmAYS%l8hAq>xSJ*N{DZ1;d>b?l7nG{x<` zL%+9B20yf6C?#s7=7N_wP>H&%E|30HhtCEC#t|xoKr@Z`(=i2gk z?ku+pxDUmuV5j8H#WQ)(`2$ES-|#RRIY!BQ7W*~vOjp44q!3`TFF$wpYgg8tDrN(F ziuP-npoP)Fmgq&t(2~N+uZ?F_l-A48?>iK+bnCP2N7^Agwy2^9HR3xkgEu2)(cw+X zfT4v=@J^gAV-7dHjAef4cYy^~hJ-ws~xk z7~3v?V3(tymEhNbwDD{8HR)GejTMQlgi05Jrnb(pg|^;#LfNzJwHs-tIS7ytX!De= zOX=S`{}PVlo2WAn>`k)PV7m5|=MlZ$p4j~)(^31fK@HMq#?p5k>AH#D)_Y4k$27D@ zv)dDScL2#V!1F}w$I<1aDguMmLeogJPRBRThuz~Sb$SIZ#?w?0Xa)p}{t5Wjd+jDl z)$c9EUfSA@!JP};f5X$yieRxdt1yYC1*@WlaoB$X>6Ji#SFOSHp|%oeO|8iCY2bHA zf+oFRLhVNUD^`+R2aAKSk{-Y^X}ni-ZCW}vLTp^Eih}+|1dB4wo6!9DYKlBlFWu$j zXy7^nxoDn`vUb=rbmibAM*~j?EUD?AYw79h2#Wcxf_+Nc%o@4UrWScPLwG(d<$ZQRig1Os@OS#_U)`~ zFVUU1LBF|?5#Gr*h>Dk1_C22kCI0*v)SSZowi=t09!8j4E+oV2>jr z;bg0iLQ*^Izfb%Vyj@SY3d8&_g7`ICc+oLKSvs`gZ#xgXD6ajOXcA1Y@3S|wK293< zs?FxcdS=yXKa-}Hze?X04GL*&EHv^l%Q`*l9i@I1;-3D^Dtm%1TBd{% z{fb-bw6iE^#w`lB8rj)dktH#?$8N8o$WpyiP|$b_A`)Q2g+hJ$d^kqGN0EwpD^iiSOK)9WQ)^h*%(&_~xs zaBElf775)Mx_;vxI`)7aO-qd9H=pw#!ECwIehXDg?{YVo*2@0Cs0q(IGsLPI#H0n? z8%C+>sz9}iou>Gf6)?fA7<+urEfku`(|8lTuWB1aX ze~E@$Fty2%dMfRosyc^2g_UV}CTSH#7m2?NqlAML_@EAwx45il?M4@~zfI(RG&Gm{ z$F4i=QhMyJAUQf(_bRl57L@i-k;DV+Hrfn^azoF1wB8dsk4{Ez%p0wZ=q_fcf`x8f z<>Ew{3yQ>o;8PP}mFdT3%V}~YHIp=HY%*j$Z#a2zjY++nn&(zvrLtMl#n%r!~Kmhq^r8lbalm36dXuD6gRCC{cDn>~k_H!dsDYT8g*f#E8JHOGN; zUc{0H&DT+~)d~!9H>lGgg~9w zd3N_w8T9^9yL)Vnf1BC+cgs2nmC;+{OKkhv&d%OJ=)R2j{Uamb$vUPR)#cIbWeIM2W|86RUv2(X?fM&nnfOzP|ML}tsr*uJBof~ej-V178JD*2_{B#BH`n$p z)P04_sA#V5Xhqv#TF^T|$YX8a<3Mr>tcS)b7~lP6$|7z%!Faobjzm0Ymg zGQEoRz^eBm9PBd!fcW0(0O;Sadu-?RSbf?j2>g*T6odbEZ}ZlAq(&d)q)7 zendqgV??Q;soh{>IZ_RxWPdC0cdW?b74Lv<{^lfqEBpQeY98|vrF$~NcIg;;9yAGR z-*lQul|nDc?)4x5Xh4_0o>@pF+QIzvT)+rfGqtDvomBE4&N-8aqy<(pvSNgHPv+C= zrzh!jvbA=EcG#MwmUU~{TKaK z?kzoIr_h+=Yt5c{(5Rob?Wc)tV!KE0pJ_K|t_jb}q6}3R8g*&yDjK(0VQzB8_H6#1 zBG9Nedsb7u$oiVG_Yr8l2U+-y$*bvJY;XBZ5b|<9{Ol?^_=oCzbS!XbIFduL+kj2 zMby2Dk~b#Ca-~H2J`GdZq?;E~Y>#SfwBZHSbSs4T8EJEW#tvrXovEt zhN+7qc-TkKmi(tz(7vY%%zsq8N`eQ4u#~e`QsNd0bNK@(8~c>8LK(^x{m@Ff+(m)E zrpV*~k60Bk3D=mTYVo;7?Q;yf5mk#G?Yq$ImKk6s9idKzyM~Qh4**=Y0Ii&B0U`c-kuc86_ z{-uI%huSX9H9q0QW97gccvr`{RPGnW^L%=;ml>YB6idkUSB=GVB5yZ$iK8OQYAQDbsX4Wy%EWE5LNSbnA8p!tJ8Eh$a2TBRFe-$fa zk@m>^st8XVkUJzg`GO|Z$?C2azoDH>$M5HyO}VxpcX*z8IE`(om>Q(h_ru2BSO4QR zRZErC9jn<`JBAjhm+r>xX3$ECj3w!#utj5zj4QdG`+Hlrof6Nz;b}g1veDr-?;7*J zx?LJWT~F+#Gj$KyqqTi|A6nga7iAm}!(A^=ciY8gAQtKchbI_CW2Y+DV}gYjoMpsp zqPl95dtB!&ao~DZvZ+|Zm+qpF@iE-*3U9T$Yx6GwEqo6TGWpCV+SOR)EihZTB3KJu z)u3y&3T&buax1Vg!60t!SppMm7i}|LYp=jTx-|nmYE3d;g2OcGU~)Gg_4LGJBZ5#( zbe=6Zx;uFqlC0;tZteZQXR9$(rS1*7IBAOASF6K94BM7<%@x}-hm!X`MHwqa*x?#n z8~u)kTkdn_EhR~x-CZ|gu050Kmh|1*`Nj?ND91|`=0J`>)^QNBf{|%%>NlVMZm7J2 z33DGXFA-z>bK88X)n0+6q9)DR>!?qgJ`bBmf8JG{U*RdT)RE=6Xxy2lQS0<#h4(!R zF1&lJ+i+ZIf80p18@{6^4gR%Br_f13*nJG1AkR^1eK@5%W4mAMi=0AxwIwd-F289Q z)v2mVK_(gW(%tvnFp3zdz{Rw-REK$;!G_7$6OPf$u3xybC+=t`WvnjcS`cqU%9ooo?RF`AN<;r@r&uoA;mQ_2Nr(H ztpdl;e)AO=VNZ~*1G*2vgM7PgF+Dl2z(EX?Hu8+z4z*lN<2EYr2lnTJniqVg&R80p z`=)HX?XE+O7yM0~z7#g!TXzsQd=d5&0}8jfWna3pN`berB8xyPMKF$qfl6anTzB4g zU%M;ao?}na_B{ulX*@czf16Gfn%tmziTc~)cx+*6ehACvo7J_@3~GB)6>DW&pp^{< z90RJjH^mGpvs!__(nac|%pcbm68%G_Q_`F_s8#%}_H8}(AL#rV46WizPAy75r|r9k z*@Lu5Fo3$gExdr*-dEYZ2~--$C9q=)s8TM)RD+rq{Nw5c^fZG4uVt6(7fW(KTS=$7 zKBJu1SIZ7l(bVW5j2GbfP>*UG>Eac?++jEJg6#+v`O^GO^+qT#P?OA~I7sGbV0rpR z-$*eIdclHK1X8NrP?=NxH&V37#ny0&O#bDW5{!WB$jH?+W9xHjGIq3WFX2~lU@DM6>6#JAJ#QR~J%m1sTqo+lW7h*(S73sb!BqcC ztRy3*4y(Zy=f&#V$FC%!bBEuu)wEd#4z@_coD;gy-+9!lZ78V76j>lycx>}xd*M8T zgZE1TNe_3C$M)38^Bt8AvuRGmJbSyg-d|y3wSjqf-4%2;!9&VFakD*A&)zp8yZ?zF zcGa4=fG)njD4B;_2T9&E#APVyY0|j|H2vLf zyNVuLW5@4;S?lRs+_%(W-7Gu5cDelJsmRJf`xE16+q3KPlGX)wxe`nIGJ{kPw~`K{ zp{LYhOm6my;vL?x^(lDBVxpambxq9QJNCO&6RF}272a7Ss!eimp>OF(_6N39t30 z`ytZzM?>q=>wj`n!Zh>js!@49{LM1D5_FyhEE{Fd*R$9#7*RgBh$bV$s7s2Sl>W=U zg1PX#Gh}%PMxN-=G|K2!UXge8E1vT%N-h#15^2=1>+ffcS{vBu0XP`F_I@P#0=t&h zwL$PY@xZz+$0yRG-_O#L8Ex%;tZO?Ca6{owT!>^zmO)fzwu*urVna)O!(e0yo;XNq zwGrVZYi^{p&{D@ z8f-H;p@N!#e}}S9C^DS7U70SO1L4O|r>fv5fj61Xhf&HU3T(!%hmwQHC)xbrv^|O1 zNxhRP6@R`D4)7(0&`d?abVnBag)ja>HrH`*a~4boGS@_6D_Ct@alo}<)4Ng!wt2hY^h=UaLKud96lr_-nJ*{!2p_&I zo@qJnCvc@fZlgbDx8{1M;N840RoV#2e<8QIT_-Vp(=xGq%#MAA0$0V9{8@Knj$1vS~6Ruz1&mhk>wec2>%pu6qgXIK6 zVoxyo8q6`{fon~(&ZMSqEJ>mF@*gCv`5qgsU!vrx3&pSE%?Qq+9al^E)Qr5*#9HRA zM)eZrq}WN`*b{WAcK{+$G1LbxC3r@+t*q`0HCEakG-2x@5RibSm&(%8vJJZFtsPu26>q^D^*NYz5zUUT$|uMoNJ zUm8kt600y*l*KHB9fCCSqQ<559LFFqSNzlW^+&oP#;AD$VpuRet>%0=)FS2bI10<}Ys_i$Q5vG$&(2RGWw zTCC{#1?bu!*jUm&yelZ3@~Jtsp7XJEOfwH|&Ug5}XHi)g5N+9mT1qx%swZvImUJ+2 zAJKC>UmpnbUJH-a0glW65_%TGw_NiN@2Oc^B)nGwR{e3)+H&WM2EpmXop68a(7HEn zjgJ(eXYLZnSiwN{Z4l&&Bm{W!>Z2 z31!zj$5zS|n&;W!eC1#fy_GU|rD=9Y&GUv(hcAKFqij_`@ZJs#$D6Fk+-*9X;|H(;5k^+RGUUk^g+b z<~RLFDrSr9ybFcIzJd4BCir+-|KKIs1oiX0*Q-?}Dz-8kmA<~lzN^_%13KIhx4I=a zQN4bbWIIG=W{afnOVIfU+=~1*m^z$PzJ=NHvEx2`1bPgrxCW}&BzreHHdqN1q%;6p zi=c{pIIAyH0TF74Vc03SIj{8E1Y5v<1ftaeCYVyI1M&yYL=dJ;5PRRdX}VWJCbuEB ze{PMTvb_(;9$ZafQ;JI?4p$dL(|!xN_4b=tK9wpdlnkj;G3BnU=ztbO*i9YSvOcDt_(Ma(baEu zQI2}wdR>oz)3Z5yqE+TYaW1J-t^ULH__*8?koP*sJJGzB)bwRXSDP7K|G_OfpI~_AsLhN#2z6x|tjy;%S z7f^ddO^7`JVKrj08C~;XYJKP$zqx2x@shQr$v6C%nnQ|$EHRC%`CqhuBcyQ>=sT|r zTGy@us|^5Ywje+!(QIi6rgp=`LkH+bSLfJ$v}c+OExZEiUej6{_~&85qQn>4#=4`{ zn-N_ctv-ZubW)Khw~jge!Zg#YY{0Djut z-&xr|c&v6@(O6qNMZlJkeJW8&4)0HcciEjaQ@tauE4PUnlz$@b9*)beVlt%~7i;Gz z3vJ6x6{lHBOb{Z`O!aa1J<`tWc3+f0>OY^c`h;v=MCv!&>t{E92sDD%0_xc&41%GnE6< z`7y-9Onqs7F116Yo%T$5pD|Xy05wgwk2385Tjs(R0%q8!xW49!@lm?l$fjC#mQE@6nHaF_u!rG_#+YdC;Tw^fRKM$gBpGfA$i&9vZVmjp{PGwe%9)+6-b}KO%wL0NNF!&rO_}1wPf-B9h4aQySDFr==VHsnr@#omS270?|cUF%{d=~@xFphL!j2z2*b|Hcrhr-e>lxg z%!%TnPt%tk!2AK2MFqoo$eak%H5YH|^A>Y*@2?wNOq&6AhkEXU?n*<7(9~$@=;`u? z_I&1=OnORzpQ&&g&}AfjUTL3jZ6kBdTbvh+0&;B+v}GZ*CE%pzOK|Pu+L9K-=ETi; zJ^#42z`lEH!n|#?eGjLWoq) zuo+UHS=*{Z9ebYcJP8PU4W>3L8%C8r_i|09OqhOqP~i@&Yp)>DjX``1IAzN6-(2JE z1AVL<{#^Uzzqw`zyAHaa0CUZ?HI2*5rhaiAP&!XGzFFHc45sy`l~>%Pokw~M`v6Is zRtKLT`3KZtG1MX8oGAN$6P5^_XZI(pnI`NGFb8HnUeOSinj0$X)Ls#%4A0VfE)C`O zYo2!WOG)JwIdm#Lzi&F%g!yL`C6{tT*AXPa&Wn#OP}7!@ z>`pz2pm0vF_)>etCqWGP46a9e#o|wv*+sNhypKe53Bu8t!87T>{o90>m6kHy;uQ;n zpDln|c#RIUP>$bjhU>1HbyX6^!*1y~uND=Xe3(ql2;g(r~Q-;N@WcO+IS=)0rk$5L-K*H5V4&q}J0B!ucJB zrct|-v!$RW1`HLp%79C$^9`YkJC&}@}Bufi+Ff?N`< z9z`RH9FwuRt>Sr4pq(#>ZyNK>?}~X%@ET?;$uULl)~Ger-=`{r_ZT%vz-2G=Ggda^ z?ziVz5IkQmzWokGKEny6Ytw{U^vZTq&bF~b>gWw>UqOfa1M_1YW4WhH4|d~SWYluS z{I5xCY(Y7Dw=wNqqb7T+az>852fL&hF-~xRe z72W!G?C>hU>!AiU9NuU)$7N)WxCXPndIsJbOfTpBAzWLe>E!IEeN?&+L396=b&w9Pn{z`925O76=*kv;}QLC;I@&d`g6VBZ+>z@JhJY9*x z)U;(G^IQ~pAGQ>x0Ot3W{7!}2{wtLt?0pw2DTp|BADF*8If(M*Rh6QJ6xo_Tp&4p@ zi{;!F@kdd?7N=;`=d10hJho^HFZp}aiR5MOuAyPg)JDwIItt>L6YDy(S?jq^QnU;q zb~)6^nG{{qCRhVy+VPhxe?y&jDG^$028O6C1dFE z2rIyuJxe0ZOwrh#3^}-7QRg8#;m5IX3I5z3!sa8eTPx?f@+0pkY>vb#>%w? z&y;z1lFFY==AOK$qrI2eA};qnH}q^^<#DCHJCc^oRZG;Y-RfEh8%u^o=FF)^QSMC& z40>cQm3}Ph|6+M%V8AuuV4N2ZruI_F(NT>7(meN>rX~RvDZm!zH1(3^`BNa>%E@y~ zun%jVmqowAI-N?^-@D!p*Gx^u4Lv*fpVht6CK`C>G-1+HgPAHN?k((7UGhR#mx}`_ z*;=J*ZJ4Pt>A8p2lQ2Tof}82?)H8Cp+Xb!X?SWM*q_M}o=|QRQDBr@hT#p2c_t3Sm za1qXn1*{AhB2g~~IKj%5@n3Lih)XiUfMEVWpU1O+5ikw#7`Q0c2q%v8~}GjMyCfPUM3J;`>d9cbH_sS@75L%)d`?AaT8JvABsTFwGH%1jlJtc5CW z$F0-zUukv;nK85GX0mkb&QOp->f+y+tJrn{>kJh2{8&RotUsK`Y z7456q{M*Cz2$D0-_N98oRa#S*-M#qKlw75vEyc5Usj_h2iA(A2Nda(cb& z5}i)n&_1P|W)aXW;8^nqP_iw`{EhMz23D+5{BmsYKpOi_fwMBc@|MP44BHXPfwxH+$#nyGW}S5$P2ckp5ABPeoBbmW|WPt;6}hdebS5YQ1g;gvbT-LxX3Z*^4& zZa@o$=b_6!t?tv}NYdmvJ^7A|B zmya9|HK`=l-tTFy$vJ*-B_6%@em-=*eMT!&93cHIhj**&)OEB!#VJX^cWDFmjySh@ zC@r3(%)bjqe=&oKP^NvHce-k3T}Rz#oupG2#@nT|M=p!zT+y*S&v!4UubkUa4)`;F`ET@la{-^xHaq z_TPw5s()I=lHBZiL>0YYtqwkwqBl^7>re-0rKkkAl10Z{@W^?fiq6Z-=C~~Dh{yU7 zqZ_pmiOg?Nz_Xbq&jWv-YM##ktWq)$bwm08C2!4A^>YaH56<rxUv#$#J@S*uEvacYrja0f8IEYg37|s|XDmvVux@mlduY zNQ#_Qs(Mc&o#s!t;K0>h3(=Qm$Nkr5#n-pz}S_DtB|gR)turJYOi$7(`-WGP3fW@*!5WL( zs&#`XdtbE?lXnGqqxC|4X`D%;s+`!p(Uxn^aO%6PZUXxkY}=&c}%Ug%RC^ zNSv#RW0);sf-%9CbYP2?Sn*}cgLi0&c}M*IVPh&aEuXB9wPcBjDxL*L4zcuI$>#Q@ zG`#`@_kzv&8vweaZf`9o$vr+!0;X3-|!2rPk`BBt0S|rgXCOT zbkz2pyFr+@G8hd^eE_C*J3oRFbyu$hz}*W|kAtbnp%X=a2&W@cX9~}&u|UNu-s0yx zhuO=SjO(9f!-HeUYCPk}XO8r-zGg4y`7K68>hM`Gg^!;E9p<^r-j6^Fw|!I<^J45$xcOa56{liee+6RGY{@iplFhzS$-5~c zyRi6fuSt|K#(bgM@VpcJhNO~9>rbKtFXssup;4|;n0v>=)5UX5puVT(n%0t997V=; z3YzMF$pCI3UGk%td)sKtmxT8cfr^y`L7%((oO&k9`h-o=rNO zmVKeBu@Iw-eFz*m52jAGhtu%v3XB=Q@H`R!;JK^&@?sLHj#+_p34hEvB~U(fE_l8Z zoomdWcWx~2wg~3+!1Lm0{kr==s@+X3#xPTrPdyIQWJulmNY(z{GstssLq%fM&0)0r zwW>k`b9rMR2H5f#Y}sFR7(L6cz=kamU`tZ4W&D6J>UmLtVVEMZYZ&`7F!#R%qpvV_ zCV#s5QKf6=(6Ah4*&6d9G{}3DZ!j8eLf@APz6FYU-`0!E7o%%1@B{7J&-;<;&} zOw3e8?P@5~YE~xKqPW9}>Z{h}xS^zTrO`$02>mpEPB_g*KbWESLAnc@#!7OTYAH1 z$uL?ZKGXBxmTDj$|Pktuo3 zN56w1vHJ}|X=n`EQC(0O`)ruJYZk-Vig>hdXIhtBjJX9Eh| z3sE$<@4qkidrkLDxV=4$p9zwe?oA91(m}Ej++GnL+tX>$4>X2z3gjvlaoz6{SlGUSgrY)xx-LHwRozSzI{veqTA_}#hmFrE5dMLK^;Y>y1_g}D| zCZJo3BwEkm-ipawG^_xo*88yn-5noHCr9tG<7kn@=7C)sAd;flSJM?f74z!cN_Kf| zg4NNv&~UeXDCPQAt#I+)UNv>_QunUOYWgDeTR9=|u*TdAu0L%oEzF~mf6lnnCeQ@H zsyFb{dhS#6_ya`4IB1IF z-#QI%3zJwP&s1Z=Oo&~2q^2B-Bk6lnbbb?)ZohDWtWU|*00?p_Y=*SB5ycO_S!2AkY=buxP*3Kf?_JazzOt@;HVKcnC znxjT9iat;|&9&U6M1$k}gDVHp82?K+cL7Xog%O(7&&!OOBxGIXNIYz=Z5u@dC zPLYANc;uGMbI%sm87JcMvk-O?3~T34*hL@0~!DaBI)#&4cN|Mim8R<_TK91Ks&? z+c|A8)n1~&z1ZE0XA(<-2eAr7jHOC94v4!qYmx#k0&v%ao#~$y2P9w1&VLXK?S@)z z)SVSPtTZfu9ptP175VDNE%aiNKEX*7f{#8|%GjkTUX9H3b>5|RS?Spa+;H(&sXP#KPBRkFcf+TWUg)n{nr^Rw;+k>oR{x=UX&e76a+Y+_@<;`vi+; zCTDUkN)+J%CG%K?>26g<)CU)%EWGL(AoDO_F&Fcj?!x>*<_6}s|C3--UmF94Ey0q8 z=6T(SYBmRKwE^{4a76VPt3RzBpx%suD`G)gR%b-P0}x5qJe}y=%t696G!S(d#B^KZ z)uS3Q^}qBv1!K>}&F@mtzajcN|r$PLi4QFK0mC6y{Hz}bnVgu?vm zV(eUF`HQa)6c`l~SssUD@DxC6|4UFC2dw6N6g6)fjoQ9L17+K;XsQ<+J<)I)SQcTd zg(Xp`Bv+wTEWv5Jo?cF#-(TL=TW+L)}C;5p}AHeeJwBDw~c+rKGl`pbyr*i)>1$J0+oY6 zgsJSlJBH;CNO=_*XUA}BWn+Ue>}cH1ZXQB!(y6j!>y2lu3N*NFY5hf>F_I8IYxf zl$j;@=k-KB_9BQod2y>Z4O^-gZ%@=(Q@MihfqIEomoO8m^qjGERzQ zY%k`{l*%kwh5@zR{@TKuV^vDk8N(KZU(;-Pl6g^ZJYBWm@AUBYZ`|T1s6`7mxhCo`;wfX* z8^j0XT3_zh6%(C1uiMNg(YRf_Rj{ zn8gnKr5~Ler=s97&9(SUn5QfV(_BO5;mHz-+8VH>5!j+V6F!7{gSjS0N1<@&dJ@3` z-Fc`rm43TexW*$oj_b;RAg@uoUZS{^288Ez!PHT>JsZ`E^74W!Mm5S5iGrgw&MAWH z@l(b0=etqxvZ2x%RSUm!idrY{PW!hjFzj1&Z6TCtHmK96A$LIMLRf9Er2$ZjyRyRj6I7?Kd3;}NU-Phk}C z>pD3b3L9Abl&Nu+AvAE2nzp3l)4W7ezcUV7n_&NHALmZ|HJ(diVY2GrZqz$qSn9qo5n7-7>PnuF=WI{cSF*sp~h?a#P^; z_}j5GE86dLJ?;&AgwN*o4d6|&Ip^uovefdysYhBB7XdN_Ia1L!zfjO7Rr36aPbrj> z<7$B|4H)Vw7=0OeZk?ycCy&~r^w@&I4_xE&(7u(l^~ozr6YY|nT{ATjL;i36mk;S| zj>K-fP5gkz_EW_P-!!7_S5}BX(`ATs9#xqw)*)67tIYSSD1Z6av@7vx`m^A4H2(YwYM1gQWyn0=zN6Xl4gxKhh&wObc`0o^f0cHW z{9vcjomWA&$D5x#R?&=c+%e+VZaA*lS|scE5E9QgPGlK7mRtX5MSgp0EM1FrmGIT{hZ?N$wyeWj$5Y4G8)d3^KI&BQy{9*I z=%n<#&6kl}lh!j(>(OjkdvvayQxhiOpHb@>dSxmlvagc$IobR5*w>H*hvGKk+IMtd zuabAXKRkW59pI*4v6Wb%xGl^4JZJUlD(g|CdeP>e{%-*HfEjdxIt1)I2;;NB_`* zVDKke%S;X}>j1T~{-{0R6OM)FKjRsxb8DXWy0^)GqPNCh!N#{Gn7hPpvw(87x=KSb zKE2=a=?VY=zMbdz+kBcx&_vPB}a#rrEUW^k3z_8xS2{xDq z1_z+q*%~g`+faUTfvnP0WG_U1x?f;79Se@*-cV-e*YS`CoXO?-3G+ z4{_g6s>;WVhep0DUwn=L$Nj>h`}y{-YIb+enaREHuJ_*hzqP*ZwbFE^PE~D}(&c{|4a2D7i2+|y z5Zfs0eYu57{PCEoJ=tU=5VEMB6+mb)G1Xcskl+KAtQRGCyvX@CusSdX{#^ZD(b$w( zOk`BO1++imqjrNO1^mC#qg>j>?vi9rHJ%A>STuB9su6Z(p^DWFDXk8Sq{sF3nn6|z zhbZ1+y#2YSj-n3xbuoNPz_ViANP1CK7Y~uVMExcWZ zjkejilxpSG#o?WWvaqAZETt}nE*`{cpkm~l*x~v2Q>Ma^g{$~V*GIJR=tE9V({B4Uqq~$|WnkmMvGLDM}UJi0AC>ro-+9|4DRu`+q5jj7J0B0~}T(7n2M+;l% zNYkQuZz#w>aKF^We$-){F3!(09!l2YLrA}dnhMo$iNMGcVMBjUAf!jYv0U+{)Aup& zsgTOTI{|9HfUNB+I-P=x>0%_@>KV)Vx!OQqqDVHSDO6zlNY_PULQczSGCtt>Q}lr zJ%gsCMSj71G^0GGNtcp_zpm;pNTK@8@*=BfeV8a(G=~D{7H(9TLQ|J($p7MKPtiO* z!lMG9Y@Kh<(~ILt%|*vTjfpZRnH4eT9=ML0A^Yg{s#xZLnv>Ll*NUix(D6Z5Snr>| zEu#_D^=2iDB$Hq_s^WiN?p#KLyXfN9oQEo!E3hsjI$62p9Gz~M$$a(Ob33{pX~}ur z4Ug$+kJM&KUjyUK@i3NjVs-JqLg3Up^>DiO`lb>^E863$7kG9W;E%65f);hta^573 zlc<+KbHO_}YOG*hWuS@m7zR5o1K{bfCWiU$PF{6fwsjO>9|XaC_oCl(aN=B=wEq@` z+?#B)WGzu-xd-Yip4@%2l(G~JunzaZl5+UT%M{BfS!G>p*_O=^vtk$_o4;TwtxBbf zStT`SRp9_Pqwj7>mePd3G(&TmVMJYs+MmI=rO!B@Znk}+_O{^WGzD4^YA?l5Z_$Ts z=|ch?D`pZkn6LN^&>J_1X12SL$Hdi#QCSUC?cX88XJ8%j?%6@(%4kWoXugo^=_B(? zjM_k@hP44iwl&Db&BcYhR;X&N4I(&Im+n(epr5#lUk+lX8#iuY4P1l~FmK_qC)3Sar zeiYkM3>NuYwgKL6N4=s}ZBm-JFSY|SRC$9Nq`xxi{q^qcQibXxCn`9)V{qg{+(q_k>xRy#d98ZP2~LD&j*cP z#Jwxr7ozs^y~pXu@WkfddBn@B6;UmYsqkhO5Xo?D5H-8;NEHWDm~|M=y6U;gEU^0Scx8f-T*Top2wD$$$)lOm z=uQ>QZ*WYJrZRtc#$d+!1z%@Vx}`3*h9!Om=<1@CBHO3Y?fSa-klY{I1!TF8FkA4B zzmD$+LM1%Cpe4KU8d7xw&HVcs1vfu##FS~IUN8(}Adh)3@1-TpJ~QXc?rWSq7Dg7; z#4=EA!}d9JJh47Y#4?)OLA4H`TAZNKR4GUoSI!>ADL+tp}w zG)$PV_`oxW|MSjEK7wwy((0c#Ul_ly!M1$hmw%Tzg8FsW#k*zrtqS^{gv8@hhZt1) zF(3574~|$-NiL>|J!xQAC7bJ1aEUKe@aD_K{obxffv)~spN3Y-NuI2m48D6qD-rL~ zV4I2t`@!U8jb^TyHQG>q8Gnq;H21Fod>g-e-7hm8JUgh4?0PmC# zILQsL1chylK3VE2pyCakd_L2`UnzGjy#ysIrz1*+XvjhGN5t}(=PEq;FcN6(&cSFYMA(`aX>gW2hqKOzVDrQL4D68Gn-8< zq99iW`zfQW?Qf0C&1hLAJ#x@3TbMY^Lf@mK@NcAX?1Szs8rl-pp(Wqe;qO1a6Rlme zPwChihFl5LQE==$UIKZqV=H~9GHGe>WCv6k@j5=d0c}{BOI-rgRn(w6$kGwD_r2&z zoA+E%ji38E%y{(WivGdQ+88nUL-4KzHCPUY#(NsgjL|ofi33`i3gq7~ULKx{^>_xD z9qGhUedNwr?P{F>R zwsPAIhJtu5&+(LnWg4FKDdqeDuxzC zaNPzXlQd30nx6iU0<9_|ri5uOnr3$t{_J%YA$?PQIwnd`OR5^S9D?1wUd}ii z3fvfw3t8IdEMRa@A9zyW&pDdW$21&-^K*^KqWZ@F=too#W-nM6`W0~E{DJ38!^~c) zvrmjRg15nQhFDz%GXoKlceZBv?Iya|S@|Fk3 zJUnM?AO8Tz*)wUXjbXFm>BV^a*L7}6yLMk6F#t$< z;}s>mnoRLKneaO{P+ou@)y84(qP|3fgHvDH85`Hjj}XqmO)YWM-6T!kfYdhng@Km zm9j=R*UrglcF9%Mh%1oS1m0a>u%oqJq&`$NWD+QU!9*{E|Sf+Ep*1`LQxFf}05B=U^RFakj*<%o$@cE6C#u zjRT;0p3;arlChDkX>c7?! z%XmbDyi}Ff#;*eT6+pEducCuqQOdDeOOi9>ifYwB^YZ9BUfR~QZMz;ZnJrXX!$A6% z0(mjHu?vs%Efft+48v8CYx@gk0A)a$zx#<+wDb}Bo+f=qg(M`vD`v^JbYq8MZphSH znR^vVenhnQ7_T>;(R3%d-i_3VsitJD6M#O2mrxBiSzA<7%S>V0JTrK?^50!6D;=vK zjtW-7n;wWc`JGxIzX$bFkMR3W_Nc);IHe`50tS+5@*t!qWg$_6lPAfLL7yz_N<3YU%kBC2OjS8E~=7c?H_TCwjgFmpLhm+zZ`S!BP=H3>unB zXkMJFdll1#W1qcyWLO-_!%+Ei!6oF{{(@puO=kAGyvIl9=qVF3=*UHN8nzT8o+KW7!K|Zr31~$wE%iOitcm8i0@I_u_j&45@^;_Q%$(zm z-ABSwrnE3Us`%@Sb7*c#3o=kiK89&3saLrR1>U31&qx@ip7SYl72O##v zfc&&_dvbba+%q^k)sJFk8hjVe{(`EvilbcYIgyr%P=lL*Z^G%mG(EP?ODc&PJm8qg zzeP!N*x@jkHhj;BDYM6SI`Wy8Xq=MvqyfB@65k^ka%J|qVL~JYMzX}@9y$7}@1Y1X zFDB-5zVIq=ydagmm5Oe5^#*4V!}S7C4dvXx8x%jLS^4|SX3uEPj31;ndh#>_+gkn{ zO5ZI>W`1~)-Pj|D#RIb*A@q6Hq!!)DuMw*zh^gG*3q&5m5=1=+237bT11hL`=(f56 z{nA0N863xm{fi_wqkwi71mnR)JK95(n^{&yreHU+C!52Cqu48u`06! z**m99g$GiSF>6qi7ESK%d)BkAEVM|)tjt)DA1np4`aJHW;;i6>r_i`qrth0a3AP~r8{}54XDkxa99AH+i39B|$YY}MH$Xn$v4*r|hmIZo z5Q%98GGTOMl47C(^P2CM`aU=DUac zdAIKywT%+upwhKqrik|wYRBc7RMd}F$KP?AjgnM zCmC2!CF-@e;&3{bcfaCTN@?6K7CE0(VV*BUAh(N4l&C|Krsp6e_ZG+O;tYG<(9K^m znbo{V;j;U%@FbS_{A!Lcy5_Z!l+cMF@)xJNp{-YK)^ZdEYg?tt~Qy@~^{s#|327;{tRp2M-S;Npm@3`?z6i&b-TlLt zQ#huI)hin>Pv23a0OkA;J$Pa`s{2Jv@?Gajc(kEFBnH8|h^2^UdfRQ*#V` zD=mo)hW6x_wP0ybu4u;2cwwF9fL$BKFaOXj!|D2%(lmx98c$^YJ68}_ER7+$f;>&U zq19QnhHNDFts+ehg8iQO=wZf-KIA*BEz=WTa-?*O15LlbG&kXq#!r6r$(SvOoq#ZP zg`BV07S&w8EWJXkgCN$BQT9w>hI&gCisI}AhR?WBB9rM@atE;F+>zC9uM=RS=*}o{?dk~GksK-3` zubL&Z0a_}6CW2h%n^tzx!*bNqa~+a8~{;@aMpXrl4AgX!Z@UEEdj zu~CT6N27^I^D!GV=htKQp-RV?0AW#&Fchice93`}F|#yFBvstMv#RkMJ7^WwlmowO z1F;EFyHfKmI`RmW(V7*Iwb?*S92HKc*dR1l0P*^TUS2soqU+)qunvxAK5AE4lZ~R~ z>Om7~rZg@<@U3Se!2dI8VcK$ZI3>&FH^xi6rhd{G;D6O3ntAAx&piD2kTF>#^$mpd zBXXjYUu~j^8$T$9)@8($j>Y7Po|zbTn15~<6+Epc*AK`nvTD3O8W+fiw;yLL;-LYx zhl{sF@!~jy(8Vgwp-yx4&;SMw)sCR)AWLk7&>{{PDMH#3U=_10##V96KnE zeF-P|5(`D8_QmLq1;;7jMy_Bkwv3O@cSx?SBFnvgjzCbdpzrAq`cR*+i_J50-?oER zzm&$2QSl%2$bTsJtz+cd$C}WD_1a)>U`&ad=l!{dj_i+V;(X-bh;DBEhv}3$^I3IpWa<-P9MkCGy*X(YdiOyO zc?PliE5tUyM~wpM1hnZ}TKh7{kjPrj%)O;i`((}^s7{l#gblygPo(6$JCrd!!{=#M zzdqG%vPfxYHnB{}EXbwyoX^@DvAMQ#RPC_>1Z{gpjO<6dujs_%kT}Wsh!u-~HbQgk z@Z?|XkZL%p_5ec{?rTQ7)IsXUH>KCr*V?{(kp8k40H8M`Av!Om7 zk3jR8Jv!3eu(7>mqM>s@bDkx%Aul-K7h6NsIx-v2&+H8lib#mUGW?2wb+qy{Pu*T3U`W{lLe4U6xzgp96IY(vvB)MLm)`n)K)L56n7-@I%gin_X|Gks%`FL(46t zA!VM>iZVA0uB6#0SbIE6`rV~p7N#_VyY4pl(3il+w_+XnAH1U86CN5o)Difs+4`Ik zR7k0|1SDg!1gQV+X?1Db>;sA{H)YvR9+=afjGaXm%${@0dXo77$kGDVt6Ihe^y3{( zmS&!iUei~pZ2Zs%Me&K6$tGrK2*B|XReig(P!jCP3Or$!v ziKY*EK-;SAHtL8kQEeOmXw{}2r`<_on;lZDHu{EB!8}ZO|Cw@YC{gnF%9qTEsDh=x z;}aV0=uon!sGw?mHDY8j*zZdAu<=&KWf99}SUiYQzM7<{_6>`JG9H=KTnIoDL54Ui z6uc)QMxOJY$s*!_qaUr&8s$fLwWHtD{NW}lRqc#Ae(~>PVXkH!%Hwfu&#IsMP^%I; zd#}rotG;COaEb8@RnS&IoOiU9;3EdFL?c(^U`_Q=q+IaAe&_I(b zt_Fvz=NDIF*Ouea^Hy$VbY($S-k>N#X!V- z!Ry@upIpaBQq`Mh)wDtqDc%MB-UNnD`}R2vKb^w#oLXtzV#HM6Gcd4F46?TR)KGUQ7A=fWtdcHR`IL`za@HJB>upBS~humK`W;jH49?^#MeAq1E;q8`PXre|{7 zvh*vfcn&gL7Qw32#zYYJrj5r(==XBS+KoXisOA|hikaByD?xsPpd%W~nq@pr{&~c= zN~ji-cl&6_yBBIfp2PX6ET%Vgqy!H0skU7Y^Mp)@j&7RAHN zv49v^L=h>|i;Q8_enL#5z9s6xHmk2rh7olw^U|Ld8%RQjcBHSu&W?G-a;_TR17TVR z(up`AoGT%S@hU67X8@vk3FjJpzSZF4Lp8P-VD!vqws~4q-$v!Py{CkQM;YD4>^()} zv+yc1V-B_Hc2-?iHJ{C%lGI^nH#5c^3S??SXSV3vKc9HPzGyd((|AlWE81T07Z{H@ z5XH&$I?#}B1}PnTB#5m9Lu)}4g=&ow{NRfvh=o9qy^yF{ZSzy3lgX(PkG@;E!AS_R zAM!{GK2WxH$;~Bgb{lF21*Fc;V&nAzv4%-`MX{InE0zlm{z_(3Z!u#2#30h$*%{0Q z8>%Spze_aq3T}MZjR~-$t9sM&T3XI`O00+u^dpj5{6%+LQl-%2nY(ikJ=vwr{hNq} zOBjl~a(MM~;3N0!4XHTK*e4pQev}ksDHL-$Wvg~v#fov*uGtRyHptTLUTZ3uZ>6Gn z5ph%@;6@6lia?GjOKwwV7Ma2Ceu&T0tZPm;2WlNVAROBSDeeJNA{_fR$3Pzs5a|b% zz1LaMJk@giL_ZES2scvn6w~tv%Bg;tbIU+lGiehgZaUo{5yhsK>3LOe7Co0wxx0#HDY-MF;$WrO$cH~2NbpuOOBD?XPnacZ=Y>KF}mn9wU_v@X@D^p z!|Q?={8$b_tOWen0f>Y6v1hf980AD#c_5uf4meiyJ}9}CdUkqExT1S4L$0#-#0cl2 zvX=ONxY&tSAJoR90}InXjA@30oG;X5HDD{}jl~Ie^ZFX{6~dCUP$EC_CMV3SDyv=hKUa;E46O^XSCmI~3kMTf+DXbCxC&TOk^h3f^Dx8B| zv$aJ1W>Sw%H0OdI8WeX9oVq!S;WP=_pOgC9xpe2@<*kpFVp!`aK!^|0Lp>a^eVLe9P&TLsaZ3}Vof5|sjIQ{ZaWy&6bK+vruE zuSJP|18BbhG~rmaDL>mZ{{_n|HUP9))!Wji;yMS;z>$Zdd2yKgw`%YqY#~RuJoHP; ze>?L~zG7b29*KQQ+YrMG$4Y*j>ANVy64hBQJU>8OW2Ub}tsZ8j35&)VOT{`UvZz<) zf^(>XsYeDk31TmSSjm43sdx;~?O}U@kgxT9BIyMa576ws28@Gwx#(Ssk4=u?YQ`P~O zCwQ;~#y{})HneGl_MtOam{hzr1mkPx(YFW_!uQ=0Go9tQz<&**C;_-ylE5)jfa&>j zSU1X1W|1;IAsoJ|#<|t7M{2*h-#4=JdWyE3Kv#XcXu;w&2CtyhPbDU|w=@HtLBe5;TA}r|~IA1$I#Wc&|A)S!HCA+Pqbehp!P4 zcG$fv2f~dzGwYD0hwrC^jC_RUZ*Xe z#9*I;2PVjbAO?fIDI&+jVBd@TG32dh}BV%`v`5^Pk4mcHtFlzeM+rjjp-$bQE zd&GE@hKLWssHq@UdhlZ3AfdTU)Ll`l(xgY(X!?R(2Hzr~qcppCQ`ckkFhLA8c5kiA zwTmmooyj+cpHUpEE{NI1PxF_jz9Bkh8Y3M02I6oPiY6SJmZ^!2*q;!GpMp3V&AG8V z)w?%BA*NX@EuZ3@t^SnNdQho&diso2(m}QU;I}j$wAeA8irf6WUBU0_-gY#M&tFHA zR^u40@mGN}>))>(?aZZ%pD;_5tEt7ZL18%4_==*1LcIo1fsfd#SRtt%*&s7&%TIF=1D)2egr=weHq z3$pXjHv{qUhJFtr@wm3V1NFGAi+eHNDn>5Ae!}|_o=8LyBmXhQ#je3ZOhgved74=5 z_?#hEeQ$vv&jn%)dUvLYm9(>1$NW|-84apc0ek{Ec8G9eI$mo9)-FQrM+uuyyI7hf ztAr)~CoBo*+VW$_{ojcnQzhypx&NUTzZ%ga`muJMM$qH3dQyUaul-nhNJ4EVXgDsL z$3Qe_1L2_gBr(6|`l5EUcKrY)ihr?-Rfyq83(tGJ#Kppw^cQJq1hA?hBNktR6B2(1 zmGNW)%Ig?APu}+9DE02MgssX2!sVd{k(WG;zl;_%enA*9!5J#bwj@T{g0}rdH}<89 zC3S9amL0T`mirK7A8gCzgX3w(oKSWA;!O$uO}I}5#q4oosLiI6g#F*_S@ms4-Fl`ZGVtVpY2Penc#44hMNyRM-(D-sRzOGbD`XPp1&CDd%E><;u z4H6}y7_PP|PJ?K)^oW*}DW|Soo)NQM>{Zkj#BhFUbU~~Ypqc<*BIl<u-kI#U~Su@a`nf|%-Cy#zE|t-^oMqjRbxCO{jOtrO)NrxiGQIMChzG^Z$*5K(N8 zx!62#Mo9kP*FHJ40N2#uc^MWBT zJpy_7QAtQDwpx06t*J*zV(3YUmf{7E1~Ib?2EZaJhTnRK7fgW?g}e;EZQK6Xh%Ywv z3DaB)vUl+l`uuqcWm98|mc)k~soEVnj-v9(v`u{|lA09>O$)G1s0Q1TMTWRfF+DwF zz&5chb5qtd=9~y4OBo>cl-u%*8Z?Y@$JVwbhcvzhDwr9DRip(Ie`^J?V(2>=475!A zVT%`^WTBr;==%sFuJ{$>nCs4FQO9jY!jhdG+tB9uI!4~c!t@W$BAm(fpzpk}0UOFS zp(V4m$>l@vv|aN6Bn8^Jh=7itsuskYG>jzR7Pr(TSSPu8YR0~i7e2NaD6XCEsK)TkO@h+hMawC@9<28(YYB6 zxc|3ipD5#RnZwUXUdLGJ2@rn%>|bfnT20gs5?a`Ji<~2FVV|nKpk3Vgc01}5HV=#> zO+BY9N!|Q)J381@$1Ppiz$;&p)sj>Z#Tq$h`(lX#ODpVwAJ?Qmc&4Xm@!iI6q6Vt? zEqb`xU?uga_mY;h`y%+F2$L#~fgXx|NN8plo!4CdbuR-`SjvA_h0Q9DNcdusiPUVl zJ}jhySf+)rS<9Vy36^nkn-0sA0+y+A(*|_vq}J~pT-!F9H<_rG$z2z%q3vRA#2Og` z>EP3$$|`D=w#)b{9^Xy;=T%*t-I7%6CqlJadG`6Tivrg{?Cs;M#(Oe<3p%%;Ep6)6 z))>bMtj0WM!9Rwwj`{ySJA{6otM{H`VTXEZLQ8V6o$}ld8Adg}zN$bQmo+SAnyWMv z>w2VdsKM79c_={+W`pP{gC;t!ZcjZ9FI2U=iXhiU*igtBaUor+EP~ttD%chSK9a7r zOR(4Ay)c1Kk=17?+nCqtK-|TQSU~o^`Fub6W}X)03f#A1Nqa=Fot`wT|iiFaaQNeql}#f4EHJ0+B&wAL(n zA%Yx6dj4m5`QNEPb=l^rX?>W@4yH#KRLF3lxPIeQK)}9BJOb1Jx#XC}mX-WE+aK4!EJhqp$ zq(@A%*MVgQ-y^4=JRmAt#-5(qKdz_s!S9up%#RmVJePfrX9e6`u8^9vA*N=@NMT7$ zR4fNKH}>t*khD<)h9y2jGIg1apvPHkk>wSeG=DnGL^+EiVafkoF}8RG9L6htY|Ffj zzO@pc+cc-?jp_O8JoGfnHX~SUs$IMx-9buFBAOZ0wuX^fJds_z^wU6U5MKwu@7Rw8 z#ER1Rn~puz>5M8kuxqF5I*Rh0(OxhO*H&>$MtHa=P!*}&wtPQhs`R~w>E{iBFPRrQ zkXqKeqYRft^DdY%1c|-BjT}U&&+1~YW#$HPxsat(ox#3m;mDE&{Jx!{EKT6! zK02pQ5WHGUt_^vD+{z*Pzf|1Z5uDAU9Z1Td$28r z+KvC%Xb+9=s%u*zm0g_s!EVZ5LKnx5IIZyYov+Lp$Gm01k}SW>FTc1r#twvx5<;lx2T@kM1mI%=RE ztyvHfK?Mb|^GR15Gs1zUY7gvukdCI+REvb>zS_UjyD*w#Ay2?l_U{c;r?{@2EF$Es z7o{>Mr~BT#duf5uGNL$C$-0z!uhaa-{C2<`_s`Lm((Q%17#eFI&Z?kjJ99C8omUq# zn*#4EUs!Di6-lRy2aA^2;ESaG8LzjIoV6stG9{cenHne5ryND%s8SW0OgCP7^x2LP z1-bbT1L?>TeR<&r?pxV4yYJr$r!un~`A(gvg@Fatcds}sX33$&J!vS_Jb6<|O95Hl z*6SI|+Fp=BRPfT#2gWtKQIz2S#@;$X-yTbBZp^XLm?OTX80I<)LgiNOTuJfsT_!A! zdnZm3bC;fm$UgRV9!7)DoThC-FN_osy+QGN_bBT;z2Wx~CwsP>D?l#3ZAmw>X)QU= zW-maHdlWiJ*B>P2vw9B%B$}#r-wdni*iUb$+~Cx~x25mR)=bad8cEDI8B3`I`-~)- zMWJ4*_T!KSRC|gZI4sTanxgr3tG1A(Nr}wCRm7fuZ`DqlJeiqyO=Wd<40J3&97^3B zP0RCyxjEJ`o1v-LTX}0NOJ%mGQAM42i(_SNvRp@+dgSbQI#}nnVkkSVK(qIEy9u;n zo-Rf%7(lf?uQHjnvQ{=BsR;zM3@j)fPJw!P{mrJ*o!8oUKs?lQ0hTF0i;REz?a7oq zwYCnH$vq8O%LrL3R%9w=zMyNz5XAV%0zMIwoA4LSp302ttzwp$J>7^ReV4F|=KW_2 zsqkFwiLkpuJ-;V{H?tq_pkJ5U>Tg$6Um??X z&|gD!F%YwjM_hQ|i^q4+jadP;R{`$^n0k1*csrH!>Easc!i?t6z6tYS`h7iydqUt<5n*K!x7ni&$MFEv># zsGngdH6^&(qrfiey-*i(;HvwMi=osT;OfMKD=AuP4f!~RJV06|g&Jo5?hVXbSDzYu zbbsJewEiucL8(=6Q0cf+Qq?bW?ruO?HfSZ9#vD_Phk|OE5r7NcsmhNtVlrY%OY$)F zy?mNrPtUZdTd35>0C5l#a6+ACshX`CSfcNa2OFTj8*W-0S@=#zBTwnhrp-T zyH_-KLoySW@J?g>whfp1WwaE$B;k#YTP#U^iIM-!2#O9|nMi*$(#B(#gpx5hX7Wsi zHIPuU|E%6VpM;V@(6QxkHT%~TrT8z>)44*A?USANLe|nl4br}ROyg6fG@~T!Yb0gR z)DW1u=q89+;8~h`A~kHKxAZlTA+QQKq(2q>wUFh|<%DoVWdF`aEa!obcL6%2+o!v8#rIiKd%(tTUOL24+- z9~j#+y$fh)!N;k;1mZru+*;6(QlNGxaJ^zt=AO4kYXsdg%QFJDSj<~EiH5N?yVXVwK*>E%sex>8J_Yrk$ z>)uhcvc0a|QYJiA-|E$4>P!0NbY?Sqm+i*>aGT}aX&_w=+v)g?(A@rw^o_h^XvD_LzpqbSyxL$VW+nuzPZbr!c7zS0 zf_CGw9ih>I*ug_2D0&QDR^+h8bN$#S>K!Mqd7Gv8> z6u&L&kPFh%=_$V^l$c8sa_C|upy=1YVCZ0o%!+1nDfdshIJ3lxXE7Ms5w)f7ttS>6 zts~OBafKCBx7!WEMS2*P2++_5>D$oEHkvFQxi>|Y7my<#1{R!~tV?rms&;GygP|BM zay|_CKP~#jJ-LjxW6nZV92wG&dR`r*$g)+eqAID3SWzrf$+WY5mEt+O8U3=@s#Fc^9p3_Uh=EwxJgfzp4!J+heT+|`9NE80`aTC;>Pnh~?7?o>Mu z-gl$IVQY#Kd>tXlEzOOG8t>@+vG~F7#m$w&{L?!I&3kO$m*zlkL37`lHpZ!AVS>B@ z^H0$kG=Kby<0wI2t)Yu#mMIrJQ8U(saC6X%mj>++{Mb0QYyQ_i)u+OJ^&AyU&x=3} zR|u$=r3Ut&oL~Q|#eXxSYUg%#XF16w+sF78i~8HY_%(V3irz6R1r>W~q*DA5(#BY3ojz}kQZn13Ab$!~*`DDAZ73RS4thG%h{>`RkVzgWzmXE9 zvJtaUR$nnPZKUZjbTLAU0K7{*+ejIj+qDBAfBJDF&-CcxXAF5j5G)Ke_QVP1n;i5r zA93njetA1K@R}F)<}wQpKdMehROX%!SmR@X@zYJW_fgXadgmL0U`=?r;N*TK#OWZy=wB7zQgxF z(zC87jEN#Gn?aUg%x}+&tDEUT?u-g@K%{716ybY2=C`N9_~Xb>1f$=XHa-3Qw(~W~ziXsYV_1e(xI0b*9 zizj4@U=_Em*+RoAex&k8ZW&o3ielcqrzy|#!WLq7yXvdZwA1wVnl26sDC7Sf{PP(K z3HeUdjs)*Golnz@le#wW4rt)c`qPx`p)ST0Rsdo%+MS`l3h2foF&^g{ouQ3+bg{(} z)kAS;=(kzU(8N@__&SqCslg8z0xJZW5pno++%%&n8!jd1+b{&y8NvVb^b6=@H+_vy zB(rN7p3kSFjdZa!m@^BWT>;Q#$2_|EK*#3?I3-ZV;{f;S$03wfPK1R!HzXjNgmh>L zrkZ5oPMdUZ4Bm4eNVOqHUQ_M%$;@ogJ{SXK_xM@(C|>yA%agi*pT3=y+N@J*obbyGcMA39oFk2A6Q2L__DzU6AsGDfa^s)on24ngJ$knY;l-c-Lpe-$$w;hQ)X z9^jwcK#ZYovYz(*R7j$M-Bqzg^Ts$#t2s#LEPl!837nYuvrJxf5FgD|lq0GRE)7c#KT zFjX9prs0Ys5hiDC$VeD)@{hCFGMZB83Dzbwkk7-0 zxu4j3JC$pdMwz`u0y$99PwlH;QSB&;6y!njNBduAaU?8p7F$Dqk=Oo?ZA$=4B)b3p z%>~~!Us$!CFbr7i=KbaO)^z?;XUZ4)ouSUr!!LTnFl>Q|7&NjYtVxwF{v{x;;!;z$J9S!{X#$ZNlks3p$YAKCi{kVWv`()Nw&vRp>ga}5mK`Qzh|M43h_s##=N z0mIM@g6u4|2FNS$D4u5kw8U9#$@wzW?h;r#$R>w;Icr;eS4QJ~0~?Q;A&e}G(Rg<> zE@Zj4{f)u<0JZ69jEa0M4CD^$h#I8m~_fxpQa|6pj-jwT0SsM>jjq}g-^3}0`d?tk5S(}ZfuH6)k z*MX|6%GilwKG(ImNx#bGS~1DJNyQil{hza|Q5h9%ufAGETn$$37mpYA!+oIcMC@mj zrP4p;{>{D!w-%zk#~9x)yQ&M-ruFK0m+WFwO%_s3BkFL0Larn;@AMyM9F!BxkF!zI zyh{>1oxWMGBaa0Pc};=SbCI#L$Si-g|B#+(6VCGhv6s2zhw5LkEf~vPBymeJ=+9_K zi;U&sU>Ym4xCMLJ64Fwm;}gpHV@mV$!8ME>40*t4O_r&H>DGKbypGGW)lu@d0Q7b6 zCGb&@Cj-!+k&Orc534~>1^Gfir8HFdjZ2?c1@)noRRI-2CYB!SN}r|C%avJLbl-tJ z+W)V5`x{X8gR$BLsutsr=@1o%) z;%Fl9QN7(o#b<$J`Bpgg6)MKXOE`wDZPDTfwq@-_em8fzQCk$){?(cFlj(hfi_|6c z3&Wa=wTqvedrE`)r7$C&&8&V?`_4$J9JYZ3X7718@v@uYFGl;H3;&mk^NRwfh9D1O z23b^l^-~|;JdyKCm@>$R0g@kL6r+(L87O|&XGR4X0vifpDu~yh15auEzLe&SNxh9a z5hr85u04n{*X3O~JbqrE6ORBjh%%!u`3h(?SP@PX-!s3%$r?y#{~;RlI~)MveuNJ;kUX+z8lD;4C!{P-e0G#>aUnny?Di2@J+$L!6dmYmF5bT|h#G>|;%=qs$1j5E?3z`E zM}}KtV#=~4XkNI|Qfk!dE~Pr;34Sjscpe|C(R!%)f=Ug|q8cn@KUi`s%1jK>|ZYx_8m_hds&F&;&u ze6UaZSsuhC=NS_3^&j8Rnv%)Q>Ob^1V#vV4Scu|n0N|NEgqF43P6!V63Su@uRIxW* zS+55ceiX#);=nJ3Sb6}xGtfZ~7sM_C<-!brwY3dr&fgWp>?Y;R`S0_4gF8i(^O4u1 zncZq+GQaP1HUa2ditUz=PM#wV5DhBs_LJcqQ6~2)pw&zJxTJo(%tgs+vlO^y=af}Z<;9E@t z@ebv@RUwuJ%sKAaDPuQ1TpU}SpPJ!Vn6nrLilo3e^UvM;(Au5aS@2}L zp6O`^icEyZa6aIH^Zx_B|5uAaHD#HKfSx(=5>FHyy3-EdRi=Fhu5d?|#xyWi9%?%L zzR^*rwhrXJ3HQEXVY1>d-jO1ao?K(J&G zt%V1>)4oK9RX)apoZ7|m6(b(+BZL-#j}nIs;8;JbIdDFv$hrMTL9AEN?Y_n0sO;jK z;~P=^H@Rr^$&W@|v8i@((f(1)3@4MDI0rnHKyDXz4IWL!*F9E{htH_&-#ES$oc2)H=;y_bz{qGN-gL`~56qOUg7nK3by@h}APPEeu=XnY3eVx2>@v@HEELS7N= z)(V6?i$JdI8^qCqe9G`GzHtKiOmw>vuZ!O_q45Rt(J|j*ZXSM663MeuSuf@e$(DD2QDD&sjY^p5+%JuR3yuvuDo8t=AnDo%5 zn%#K4jQ43o%jD{i6k@6=<1rl4(iFDkdho9_Ww~C+!Bg|vc(jGIj1M%qXj3twA)u;* zm-7K)%9p6j0=q;kt5_<_PW#x&F#vioUU}~~qQB1MR>LusMFs69%XD}`1G;8X&H{q0 zAa4$!M=D|8+@EF*&^ggufqX20ZVm7Q9|d_c0NpDPa-S1&Z!dr*EW!OH4@Jk;A`KYA zwY_qG$&+#EgRhHw|BFX?Jsr5VKf%IGX7=h8&GVTjsEZpE zAj$rX<4Zx_20-@?ggmoAJ_11ZbV9yy;}zd?0qqy8AF0Ar^Oj%Sk3KFeOjY+CFj7eI zN~|AQ#8mUo?XsFKCVWf9>iuq5S2o+Q)CpZjg$sP5k%?9tV~$6#igoj@rpv4JQp%QG zOUKAaf4c-ijy4ry7qHGFLdw)m0u$AD4-i#6(YqKceaj^eRmJKxB4>5#KZoySs(aB0 z7Q|FZXAGDYthAZ_8qQZfcGzX_Y*obrIU)3)xOJ3zbpNPgVBQXCryUd{qpoX=t57!=ui0b{wsF}a5ZxM(s8<69(Xco=lSGuOAn%NB&;Fyy z9Sg|o|0Co)@b32ngF8ZVTp4AZ^m`OK)*9H!l~J2gr48mFN@dJ!-!zGmIXF9b5tT{a>N^WVG8L5OQ4Gq@2ZXXm=`*7s&G_TjAqy zAn@^q{z^+LWmjkQu8Noop7+e6_puMFBeS+~lB=#5vD;V`RE4trrKN@Mkm{+f7_o~* zOK|ZLhPVTwy?r&C((Uy6^tM7=9IyyfYU3m^W`uTBcH^1i4W($y#t{B8hYfMS7Eb3{ z$x>{HRo|c3uzR8h>KGdj-FIp>nBI3VJ<|8+R~1;e!bab3z@#Yva#rt48xQE&795Zo z0J*riduLbrZf3AS4*NRTvjI)so{K{6O*S%}ihx*?EputlB$Mlx8}S~^-*xfrG+|U*hOxayaApEpP~KNYbP?oU z$af|)D4wmApHYl7$;<)A+NlGW6j4uOxiZIvJv~oaj;1LMv^}>#v(f+U&1fn!PZw`t zq6Sb+X#Vi!EJgDG_nz zSLhE@slt3+oR5`A3DY*{*g$BpC{gqmYkY5IDaH@bvCh!qUh{vYA6k7wSnT{IF9KC$ z!EBW0AY^Ud_Z?_VcRjg2Sr*pE0o8It)};0q`T5W*vFA2?XRfTFTLoSbmT6=Em}(s3 z%^utd;(5$_JYt7xvuCZ;XpY7Qqw!3i^`pE=G|j*NXGtCM<6K`*#J-2;tV3^ta?q%> zH;rZyEpq=}uc-5`q-uD*v*7&(pnU_-Ixeb9-dlRyVXgEX6QKPG&_qjcyh~pA8a&k? zib?R2<6TaU-eWY9?0o@-neX70zS>H<-~T0D=`cyHC{|?AZQyZn zVHc;KI-W`&(y^jRVWP-)jr8(N!fVT}m9%x=OFBKRoso(WQ=&K$tZsx?mK0N|dWcT2 z+4~1JKH=6BO7%qVv#2MQDIrclNsO1%GF27J^zb3Kdmk_7vzBWsEjb8L%nPzOYgd!n zxgm;wfGp03A#>Q&0$kf#6CC}U#Q~Q-?SXNtntD1dU9d|jQGf!W;c=&?(UigeSlr~; zRN9_d7nfxv3ea!i_o15Qe2p_Y0af3dhZUj(hf`6D^s|hhlVNf`8NyTq!qol5LQ1tG zo?@uA6hm1=M$_-v*l`iniWXlL<0LWFcSFe9R;NA3jZ!>*qz|?G$S``cp@(+myHcYP zJrv%*a&KzoT~s6<$Iyg8jY3fjes3N55UQJ$%r>P_xjAyyp}`5cnEU}qotltf#m+>DAM>THNr5c4hE4cY2gnN-9Yrx; z&s4>cxY+fJM^f!2y7&-NEr8!bHQaV{R0P>ZOm4d=d}3|DJ@<(@()5<%g_uoK-J|Fq zN*dUNX*=lq9BKMJ&HB<$p?c&1=SV9bngwKu5oq@62)|Fm;=K(tdpFnyD}K)fL({@= zInACG&ea4%ufTBGICwlb094gZ4Q^x)>>J0TC}(jEL_HeVcX*?z+7*tSDc{S!bKUOo z-NIp1Ir&t@l1PlEuf{N%b9ag=j>HqaIW>%a&ZBEbmB?c^G(RI=!ZDmex=Yl*4q~wj zP=C39tVimWM*kCGi19Kn4#=>1E>^~|^zN^#s_zA&L^kBo`1u4qeV<1hDM}LaNBX={ z2719#Gc!IZ)`P& z+P~F-$*inl9E%T>-$H@cmv~264hNg1cTO?dOYJcrFYnpo?M5Z%(zx#0y(7U^Pc4W; zBE0r4m_w)E=@FCna1Y_12bt&6#5TIN6=B;ysnljJB^{!RL;g8VU6-PXotNOoYfoM# zv1dTnfz&+dNJVo?LvKKL|9~g@^VtB3wOlVqe8Rt1T5<$IhabW&t_D+<(Ig zg9Hx{+=9D%aCZm}!QI^<1TNqAKiv2Gc|XZo+AB*Pk6TQ`fXYZ*lhq&YS>dvnY4 ztI}to-TJ%a3dA=vZ2#z_&&s+##d6)#r5W!=3bED3e}~HiJp&7u7iJ%$-9P^Ng`Z4{ z{)szL0!_3uP=CRHqhU_!(m~xb5OxI@yBLx!5Q1u+Q3Mo3bj(Q9XmcICjyIpOr)n;& zyK$p@hL%>0L*P<7-0bdqNmQfE7>&go%*N->!vrZ+&OV;HwO5;nWxh0hJhyF1*sYJ`LC#o*b2Tb&hUKx!hXFhsdUyBmyZeJJE&LVyJa25p}KdpyvPwYBU8Llz6b*~ zCCm~`7%6U$NHvl!p`V4U9{Wm`3@Xfg8~RrSV&{GiAS|IzE_d#={kr4P3sZ*D<;=|b z{SH|s`%zl_xl;xiQP{)0Y#H8(C&OkQ#oIntk0^88!jtqqNpJgxU@8Ic6MK)|$RAU& zQE3%`9*r%1*O^Zbm|=h2p?PeTm+x`W%i`zszj<{8SeMu1Zm;86wSy1IKXxh3JH&n& z^F64NsnL?YlM_~T;b9Z%;=&@as9_Wj+?rOMWPSR`@YWE+%O+{p0QSV?Up*HuQ>Kjb z70bvtynBr3&o~xpRRln7kDxUS$pOz=1icJE5Uy>8;i}{ z>ct^62Q4+wr!|!r)+&XKuARnXb=P$BrN;RdQyi&II8v5IMyz3*hYm!7u^y+p6|J8$ z0W&F#H5G_@45cxh>f~HSPq)ght%=}=3F-yO7;Cr-U=;=MVA|8Y&_&#r8Dk=jQD#Ht zrjuasY5$s%nlb)KbXU`K`BeeVReNX&X+wt)+-^Vdpk=$Kn5R=8y{5P4?TI>EhyO*G zq&2$CzrEm`QH0vtiYKm+W*GPHHSwtUUC8yTq|+yS81Dq0iKBU-cydN?h9JIrOe?U(Y8gKccywX?{7PLpwS9S)m)i zvHOVBQL~OZyeu8-rCgRWq|0XI8%B-6hIO;c)&%VtCR4+A{uwIODe_m2wskyvrSTvm zqZIA86UNd^PiOTY2gJ8pvXRX_eY7gocpN+5nrNd+Bw<-lvJlhJj{VElczH@Q^^%9R zu7BC$mrxoNQEC+rI!(=Pf!}HmvNPKAwRt>Y9(~7S>f|Wvlgr4Rku_Z(E~_qmI>pVc zJ>z_S%RHZ6GDm*p_2JrpBVdX&!i+R=XvrR`X!@I=E<&>|o|j!v$iAJ*jzl2vv8Rm& zd zJPTxx0J5iH4)WM~{n^GG%^QKuXv00uUH zF%jB0P8T1gIMmo!a#ErTqBlYdtc-t*u4ku6VGb{+pc2>17Vy7C^b zlkjP#c1%QFRijIj%V;l+>H5;&f1W?_qU3hs<=8vf+$D#R9H^UrIl9&&Y{*nfu$0)z z8l+o!sr-6nJ{$#=C9tx|g|2aRW)570n%%2q4#WfM>L1yurf{YBgZwsvP43+VJa(Q= zJ{B7k%N!xNb4Q|>dR#T-Q2P}|Q&uw5zXy`vb^gs1DAq5*j{1Q3P8ZZto5Xz&iSy`J zks5QOE6*}+4vWLoPH4Smv>~vFXQr`|R*L;L?kE5bB8*5DiehK4#rcaBsZ#V;A-y>@ zMZn9pKO)HMq)WipkW*& zEnqxs^_{C&pWJ?uac#R#YBfqPFH7N)zWK2wrP*~s!pM${94#;<9uyu4|-kb#vOBk~8 zO!4(g8hO7>2z;d$G6!00rE_m^wQI4yO#Fu^$r`Yxp-FaCa^W@FuCq8L-yl&z;6|SC z6v&t0bbK$P^q(8I>W810Pmd0%Ebrwq;NsqfubSRt{}7QQuTZAL>V*_ZKMxQyq3YPp z2f(x+04-e?Vna$MO|yLgeBw{8MO<&E+6xu9zQ3G#^Xe~&Aj?vSE<_Qrwj@ZlDbui^F{De)naa$BPJn|=Ae*Y_|0tHY+> zze7UkrvG-akIfM=(%lCFMWc4Exx%i^)@n6=VSP|1=R4;(!=5f0T`QbcSQXrsqdVTR zIXG;-;-1)~REWmH!8vg{#CI>p*GK%~XYI9F@1~^|>HkrGH);!8vuk{9-#}4R;tA=^ zjM}34>T99bhh)GDVf$7DX_#3F+#6(E&+QFsV#*Nbs#ECLLNV=Uqj@BPV{_`Px5ShL z5P0(VCqTJgyh!+B%GxY4eA~sUTUKIxq&||fma3LFI|8t>?50mi8i!r%;BVMWYB*9N ze%T(*<{f{ks<cvE4amz_Ro~YYQ4gThvP>?Hl8e^@NEt_~zNEeNw?OAzWfa-4tk=)Ms zz(`Zgm3`v9ljP|lizS`Yl|8H17Pe!%h&7th`|9@U_xl1m-^4#r>{Wvt**F(=!+#tb z$|DeJ-&hBjX+^Ah{@VNsmcI(e`^$}MpSNsDH9O+9LDEPo5*1@95--`6oZO64NN>41 z6?bKMhXAJIuB#WqEqjkzeP<@Gx=R0Tu*UX#y&(JR%dR#x2-zA%9+sFkt$bLSkyNLZ zdkyF7n(acA8><+ipmCm>ekqon?3~@fj4vpCXY-_72^D1cEx8qpgJh*Ax4+JM(y3R~ z-*+9ovu;K2tlL{gr>$JUFUu5Jy|7wTI>faG^5_l)v~hJ;xjIk zD7$YukRy4a z>JGg^1|=4&vV@&D7WD3lZ8Fg^H4JGRcZ`#n5h0(3+1r1bB_Aa7eU#A+}d;GkTh;j9%&j7ui!=EP4d+<0boHK+=Q0 zSkw2qp|p!pDkh)5rL(&|Ne$V?FV) za7;CRG%pM@%&a-}BfZwuO6t(>tNbd}A;X=qytyp)ycG`FjS;m5Ix+ zlU>p=E45D%3LWWP;qVAB$BzB;)or1Pf#S^cU@_`zwp)J~9A!T$pFt_EU@_v&?cCb$ zj~M!hpW7xo*{w^z`zQR95;>SvE713uA&=~Y;S+oz(yJ&Un?b-sT^um2=z$~Ok5iKsWGaA zTDCVM;eQIAwt@vcY6yA=kOeL33VRwctA4mC2D4)R7clto+$%~G&7k~HPp(+3g}Q-E zMs)WbszYwz?37h-LPw#u8Tmipw1NyJk;d-E$g0n7qrn*>Ev3P-l<%m4cle@G>!+Dq z#Q*tbaE4NATEYJvwDcV;^uL4t|7O#^x1n`?1#4$M|32QD7Ex+!Lhx$Qd(m&ec@IB= zr^nUiCjd19V~cIL2?_#$qlY>K237`&ms%a*KD}1&Ykz(b@blo`>*Hjau%+)odiqRN zRaJ7@)no7lW~b=m`KD(=0OOH0;(yz1!IBBL3JL4ln+kH0EI$8ws6-xRk-tm6!`j~Q z??BIlZ0-NH-|@6cU+IqQ5d*Yt^Ya#|UUGva-7f!k*#9-c|NBaK`+7{L=~EV^_M6T| z`8(A-y}sf84*)AeBqM)`BfQqD=j4JK_-r6B4#*e#;UM@_^DyHf#rM zuL}OyLZ@@&uV#t~RzmFU9GD)CU~vQ^*e%4!y}Qww5aj`k9&8}phj`mIxiQ;yUW7N; zUNe0E86DSFzm2KDZCGm9$ZP*7P^88aMvtYG7nDYQ)-91&RT(}KE2N*Pj6q3y5to54 zr&#I{ZNSnfxlsQOjRZskq?4|#)+2fL^WcVmCIfs2HDGee$$e!pm2wV>Bb0;YhgyB< zT1C1g2Xy6oU3hue{8}(u|01Or1Q&Mvwq#cFO{(Sl_SkD->5c#o!Vh?A{zz!sm`3yh zJ^CRm_IaLHM-?~0$>CLumXu>5%pJAOt0yK?T;H7Q60*#6Db z<|%UyNS6=$4=IF<>D+-y*<8h|A|4h%qRt0S58U=Aoi$!V=yk`ho@FRz!$Co3V#X%N zFLoWu9s1hNGkMR59h-mHB1&k_^e`UC151q-ImC_!stloo=ma^D4E$c)nJw+I>tp4` zuFI`KF3Pi{-65GG0KPzJ!i}|oUli2yK|JX?Gr{gS03whi8i+7dUFc$cSJ1v*);~=nKNYOzG*<1c#1KCw!VaU9 zV~PqpDa#-y+=2a{dNWwgq$D5+a91II>imN^Y7=*krj$@nugK5f$&CqPDd9j6`ap~k z>2&yFBl@8jcEAUmdU@&A)|J^?002?Fo77BgpBF3%zr_@JBj>7~8LbO{Mgb;D<6JB0KIiJ1`r+TOZJanqaTDk5P@3K(|O(`$_XoYwOz2i z4)`(m1VvxCT}hxxK_CLT$=yAszldu1|4;#K2uT?-t=W`6s}Vxhg*0CC-T<^FbiIfo{*p=}mC)S<=(CKogEQJ+ely3u{%MnRgxC2Tqcq2w>hB3j5lvMtbLlC1u44n_0!XMaV7-910 z0wXox0gUnjKdDOGD1xZ~7x}~*+!8TXhbTT|fi;)|g*wb5rDrHc7=cs70~he9Q!(Y) zWd>Lw^Gr~H0GtdS{t>HAj*Xi5zeQ4Dg-pD#C7w@mYb;JDHMU;f@LL0QYAqlo#&ZCvQs9r-!XpbIB$R5)tJ1a%W!aIb#eJQILQ~Bc4#IGHUw0f{1^i0PV zj`9~oH(pw-zy@jQJ`we-1bd9?LMd>x>G=)ety3Xm7AtZHj=|DiQ%nKf21Y(46QR@T z*XHYpOxI~^?cW&p=V+=kr)PX2A$+}XnB@7^L*nAA1$E4a=kD4H2_~VXw)?C6{Hz0U z1>tgML$UQL(>LgUcf^C0AY0^_c})*Y3G(@#$JX< zB`gkXFP`+H9$jM2Y-q+w?@N-MXo0c%MsvbUIHLY{xB3qPPeB@;gjBB3f%PLjipLav)=vSWZ!F)aQ`s4e_J=M1Aj?vaUJuWbm%a44zdew}rbH4w z&{TnCahlk$*?zJUxgV%F0$+_E2MIHxa(`WGW<0pyJ|M$ZI7r!!b)6c`?la!{ag`Xy z1cqS2Uc9ITEY~qII!_)evwt6$U#ZCS_0ZH~Nv4j`Dm11T#JB^)i_T-Mjvo}`UJ#(% zbd&xA)hldw$T}VuQ&0YdZ`YIE%WARhZ%Vv98Y(@c*$9hTKp3oJz2YpFxn@hOQDAsR zmvlNcg`5j<`E|JwiyvmB3B>@&_qM*>sh^He$j7XhGklUTh>vTtTipJTK~dsF_}uxG zNg795Kl&Qy?a$G3$n?xsS~y-M5<2WM9?@fW4a4VYMhIo%f~G#;u1WI~!jcn{BVp$+r=u%BHSX$jZ%GJMV-7g!S=-7e@V zmA+n7Q2RvO;c@YblE`36iGNy1RK`Ij20&aiv$^?86thYDg>5%CYqR&74thJ63mN7; zZLy1UU~iffmJGv+bQ+alLXv5Q5awvwR6`oSVn%^lk(>#MHoMzgIEPaf&$DdQ-hxy3y;O4d0##e|lg0hh9nIu})Z&u1}CGiKl{e z1mvwyWmhvP8DqDj18OEcI+Jh=^hy7i5bjM&eH>UIg;XXTGF{^HCQhFDPb;06oNl;R zYD{2{82rcUfF6_E^&Y1k^}kcSSa~h_*6(V(L=MY5SlvOtbJl--(-`kk0HK@rF_e5? zUyQF-W>*y%tcba_Y zRqXbB70wWPh&5kj<@GHW-qr0L>_}+;(3-lNvUPga#tS-f)e%;eV^r#zEe^3A|JQPMNN1 z`8t1o&UbQ$?p>~wjX&Ny)%@F3hfyg*$?=)G=LDZD?89)Xf<*eei0yuFXohYU`RNC4 zIsn|DmRS`K@>=vQr3g6K6{9%)Wxqr9H^Br@9cuZ$eQgi0Y$UP~e{o%?KF-T$tyiQ( z(HxCQfeR_DZ4ZH@I@}fkw_k+$@Twat5wC+2Ldw$Bh*nASl#N8#fYDrb3;XtE$PA@@ zj3f0bM@Ue%xX4tCTh@_bCorj3ldLK!Rc%e3c?P#tBLrVKlD9Laf86KoH{b2bOd^7Q z6p%NF3uWKPwT*>Z>I=#yc!38jfR`~R|2fa!9h4)$^#?Y2w(^k%Wc2VT5%fB&>A%2R zMe=U7S%Vm>j^0tEW^bgiA*$s#FOSJblXh%vX1wR-Z8Wk3_fdGCK>-&>VBVt8TzJ^a zba;V`l$*H$Yj0~z9K7i!vfS?Ba9AB-Z-TxDAI+Bo(pzGAs^`p7sBxWOjMcV2T{$+n zpdmTO;JCvF7*B1VyMA$Z;{+@g3&z_+HalRmFx*CXu<7KhfflE|zzA%J1r~>WDdqS< z((}9iJL-nDE<6~+lgTaONrWsnWx$_ik3lgN7xw;B+iHWKuRy+wzd)ipIcCk(kJY(< z5P|%Z989G7HFHXX9HN|$i3Kga6 zJWg{JDS$>nU2C41%YcXWo3S;tHkbYPYOD5Qu<+qS=AVxNk6a<~`m*TJWC9J77X6A5 zdUgX^XWT#c=|1)wsk2-lE<%i>e&I()Ny*0+Iz2r3mU^B47}Xp(mGF1 zI=VSYI;^Adf@SwZA1{~MxI~P|BM1aRk5*DEs=9tf*ZNUa;rr4?9VL?)rJtX&%2K5L z0Doc8i5$ysaGAyRjYCj8rs49LcZ=%ofO65UfC2dfZe9$T)uLXhTg zg+3o}Pgrp}yo;M-b#=w`%-?6F7Kw-w+fCAA`DJ{%=*BR#+(=d!ko|O`o)B=OHa3ck zLdk7U*85ZPuomeMk;iqZMn7Dk`W#>+yV)C8qnM|Di?_jw(1n<>itTYXOPx@E6)N?4 z61JBcoWDOvoq%)1;mh-E2wqeTU=5_#-a}h(A9T@m93%CyQ3MWbqdnSF!1hr6s!e24Kn$E269xSA8>5%!@`^c=S+h$&FDS?> z6=wYpg`rfbKZez8xKICVJFF}{V!a;Ir=Jc|^Tmt2>%M5yyBI7q}rocaiTO=wE!+6^M9rgtjl>T4h|fzVkkl5VAyOGoltMm3-pL^pG=g{X!cAeE-v zEZ|?rmoh;eE%{v{$6rlf>2oCUhBIvgav+e<3bS)%X3k~Sl1;3jM#Roe4yI3cx7TIz zPyh46sb=Njb8xblMF6Wjn(>)=$LY=1nblLqLIcPI<(Qusl>}#>+zp{6?7V>JaEK*A zApw<7Q&?tNo2oaA-Do$DQB52V?U-;(55)idcFbfyK}7V2*mpXv^hJ?^QaHmauI63! z^u@o5nuv+?Mh?CB8k_Q+x9n^gI0LUMu-sl2qH!V?q0q4>N?((n3O*Wnh93%h3iIt~ zlB>-U<>RJGhCu_&LbH+|H?qZ079Np<1B5naWwH={I+fJ^b5;q`0q+#|^*vb%Z6&Q!5V z#LkK;HXunsLn9pd_F*C+o|+~P$p!(y_mG>K-sNvgK9m9&EgeJYIP- z%hX6M5(@FdVZL^L8T0ItfKEzKS}YTB!4K6n(pv3BnDqZe%A+g}z%*9XVEH|_js{&M zMCZ-Yl8HfwW*}kZ8yqW(&Rw(RAeoG?Ls6%4?)tt6B)OYdekhwx6mFAS!7P+l{RZGv z7iMT}GPA=V(`4&T9|XW1hizVxEW7;4=ws4e-jd(@1;C8j{01TddKEo}g;UExJ%W## zH;_=0u}@=hwgvD(L=lJ=*nrD~T!jEFy7sTP+eB^qaaF+Q}981JI6^tLo>X zV8|Mdui0XzEc^L5H|8bTZF;cR(&V%H$Pm!w^GQS)s z;DHUwI1s4~c-Du5G3)z+y+KkqIPVjyoxLxMx(@Oh`8n)*8KMj$sI6~4zeZS|0(3|l z-0P=N%XFxqLlR&Fj z4?pY>7|-$iF_9xB&Xe5-zPC7xu{kBSEK240ToGEs+NZn2T(e3nrHn(`A z%xk?hLc(Ag#ikJmq|Z`HRpHSQ%+aXxOj8=l9_MODfKYu-E1vr(;%VB(W3OK4cZ_$OFfgYRV)#7uTyWH4RrRLgXd!tH6Sxj~47efyt}kgA=zhEF0^yZ2 z8A+msh8D~G)ih2$7rJ0F;CR!Rf22`PArK`RuR2VqDGY3$qchYB3rWujq76orW|zkj z^6*m(A7j^E;KDH$Apgr;hfgnWs?A%+q`h@uU3!|=aLenPh~m?yZZu-slrPA+67dk} z-|OtoN4NT?igu!XP5QYyP`riFMN;|^Zg2V@`vh>Pfd8o_W#mOPVd% zT_xnt93llR3e^eW!=k!0z5&gjcm^nn09Sk#Au$X4uZgDEYZoFRUs~~uAu%--oW?u6 zMi>Q_BVnm1ZzFoWdpAheLXP3q%pHx8pZ|p81g+8I%_(XJzX5&>0jZxy>aA%$24|sJ zvcPcHLIcgAnaaK6;nJmnp#&jE?0wduF4Loa+n56}V1|}Eo=mU5pv^~g1W7pQTf;-n z-285y&1l{t0GTd)KhxME;u4XdUpM70!?PWNsyL+yVK76!FdLlMY{yo}2qM>zV>zQK zYxr_uCy6w1>q!ygC3TJV8w#z}#d}uJ!CyEN5($5bfrU`n4_+V0B4B=P&VutAYdf9W zdKH~B0w3zU*&$lal^GjY)Z@j#S-c9hO(?gC8C&X{75~h~Be&3y8(mH^dF3@`7~HEJ z+H~W@v(97w%;oRV*kq_Z#o-K3)GFT=`i@Szyw{~~w@f=QnJ1dDh)@c{UQxV{UN#o{Mb<$r+4=-vq17`c1JqSsY%Wc9fF3mL}!VBU*lGW)wbi4hTz1WM;v#j zA%sMzp&#Db3cK#6NFsRFwmguZRV* z-sWEfNPt!qqUk!=$rd)u+{vsrMKb=v;n>8mblLIhMY{c@RAzyJ?&3u772}H=yoUP9 zY>^+K#KZ^o74hm)y+!b77Y-(}%e-VE8oymh=qXWqlz>Yih#s27;~#Wz6~5{#BoE-wtwzQOINSIU9GT$NL$)7QauaeyQ;=1r z(Bh2@j90B1#%>Q!k(;Cd>o#1SBz(QLnFi_ijh@1gjfU4FM7G78WW*f$%#Bc%MQcq@Cii zo>85D2#0SsE)thSt7<;6}+gM$qdG?NBN=FJ!G1 zP(HfhMMaf)_2Ae#^31ajODB&~S<1EBFTKXJUO34xK#FEN9thFV@GK5CY)!r7$Hxq; zbnu9hUt{`v^l1>wyH2Ec|MRCsRf9ay&)`348a!AyW^hJsCv{3^$Z`u)&@x+9qdz3V zQXVZ6=XJIvDjki3pc^iS%xGm29d?)*+GmdL<8R7o^b2s z24@4{Zrx4$RH~vNdcC&fNxGNLvj$!dcNSZ7BaQb(GMUkT2@oYW79=O0b*l}zpR1^{-0_>`addBIS+}RX97|b$SX>J0MZ!RgGN>~2xs$SH_M?VLz(e5@ zkHt*9FDx$mA_uP-HN!GJD3ds)!MpO9BKlQ0qE+=W_Ly0f8AXc%j-0&XdKIYdg0r?A4+1DB-1mL`9`dn1rWC!w*M0LBYz+=_-~ zN88QFceD|4XRj)Q=4n^n^Rs5)6fd##rSRs!x#%wO4amVYS-t1Ia$Hv2v@KECu!+R! zi^x*MBoWah6PH}WHyO#rX&>W*jgEW~gVtQsX)LML4%A4L4RV`gxV*q2 z$5%9KRQLw4wT9{tG>#iT^H&tsxG;e%?;*A#;Y=V7dK2ZzLi%dTWE`MiOzR-RE7o2o4W}ldL_Y zzIUem%NSO0Os)en9883u6FAz?%n?Yz5H zeox})Z;i5bUdIT+n+sS%QB~Ov+Age34pOD-;48tX(R0DE^RDn)I>1@Sm!7aiuW*i! zGG@zVtJF5JhA`*MQ1k5rr$CP;C>(s!9si=68T33zA!^fL{wIjA>3exc5#GiM?={3~ z^hc6@H2vs`h-v70kmF_C?Z)jhg}fHRkDFiN>ZtpwSQz+BIDP(ys0>g1|C>O$8L@bFUiW4CTNdt|2B4K2COd#7z4GQU2;aKk3-T z8g&Y6bp(FSKi!%HS_FrA2_V7(Bd>{ajDhdbt@m)NB{dBphN)5dBzD14H$hVqCooHG zQTmlmC0Y^w5VIqGQ8AqzhD%eW4fu+%@D4zD&%z;w=f*MpWBfJ&)@T=+Ei+0Z|0h}W zxJ7}v9JN5~QrlVJN2*_rmzp?p3sOZU19#~c4Y?(nQFWuZkK}DEf-py4mroYe;)e{MKL=MEfUeB+{ zB!rmxheEJKF&Z({=)zxw?9A4BCHNv_# zGc4b~$o^{F>{a$!>vS;*y8)si&X^8Im#>yc*=|CFzNAPwI~FoE!tG2>LN)*d_#C6u zu1rIWdS1Hdn#Sd@@*0sT3@um!iN5$uS|*!yD@i|4RY7K3{jdEQg<_w<57Y)G)uS^@ zFkzz(1xsx>^MS$5M>p{J>lRhs_A-kL*pf*hqz#1N6A)8eRpMZaQg0KSG>34)7P_*-ZjEMEh;3+oMMG?cH-mHAMxhU)MW0602MWEQ*D7Y`WYuGWXgg| zr-wi5yhWKSQ?L_daOS`+?nu>VR@^jZBb=e`x}^q$$wPIygtFBq{#ax1DtPVrH6cW# zX$vPs2HP|$1`LlVQ}~=~ecNdgwi2>@oEQmSLYPjWNy=9~@B;DMNZC7avRbW!g$ztO zFgw$#E&SR3vUqbNl{uJqq{o2<+uK4qk^jU!O?wc0rJ_Y!)RjtT$>cK}d>zF;7Ke1f z|5Q757oe~YDIP8de9{4n?U9-Y-aO~V!U%0W`G3!KOUXj1!j8yk8bl8K02hkl%TZRF zyZXfnPZ@opT-cA6@jrXa7IEBnGDFJ>(ndUXz7s>HM8FvBAsjzrsKjxA-|#<2XClT+3^|e8SVw%uUYVDI@NYbk|2009zh}Q)`#p}^6E_T-(S;&PV`+*e_*y*;wVJvq(5o#0JCta^G5 zk~oHmmkOp&dQLp{SWiBPY0S1FWGNZ@*qsam_m*&-Jf{ISfPoIYOCUQ`BEa>`UcJZ9 zgc+iC(fGnAcZsNV4v1Bji9u|vbPBWW;2XAp!a5UZr>;&Qao;F7jc1+Jax`1B&iVt5nst%iI=Z7V*Q1SCwVoWZcZhDl($6# zDqTH6?_LBjJ<^S>ukq8xM@`hzrL>*b7VK2fhv>_GDtKaH6Zh}`y>RVY>l6$pH)(I- z{kb#GYfb)hl^HkGy8|BD4%>r0XBv)lt#UQv;7Wn;qvQH9ELPw_NVbPnArA#J6#Lh; zkG?r%3#Sy+&ex;A$-ll5-Vkyj^VqX4?- z_51Vw6beFy&tH6s+x=i*SMaDCIjI{d1%wwrG6(ZRov2%Fb22~S#bX5S0lyW{YhvqX zYiBl7M=Lebm91Cw!{`jKn<(Dav_o#?(se#u=COZ|ZNPZT08^~#%V(4CGwp7`XE@WB z{X3=@`jTsv(wB`uq+Dw`(u_q58K^KE?gC)zr+%NH<|w&Qx+>|FdX%QqPVmLTO&cIt zL!eVfz&f0m%Ji!0<8nWuUUhxkrh@pZniL25<{H=l!Q@ZPAp1T6x^j&6pW&!Ng>cV! z#>xo7vEfp$BP25PXQN|+kC$HuPrimF$<1X#s5|;cMJ6oWVyCx*_xu7lD{i zg-f_;oQ4Jx#GE3PvlozyjF4ZB58mB$-H<>GKI}7D2^1I zkxgHhjfXuG5v>iG2zP^(9^-KIta}0%BQt#T8-N8*^N;lR;c=1Elq00i3Q=4J4z~7V~P8!zbz}=-+(U z>kv;QUKVs~n`&<$UL)|~vicmC`&^Q-frcwv?ngT;$FDd-6q5^;_CeRb+VD2HAIXAm z(a^n7?llFkQAfd9(?**h*qS$h`vT|3qY5zyVnRkqdw}eNZ|rfHK7WHv6SFVa@4kx4 zt9E0DQnB()NJmk(4YYd0SFo|luJP&R0LN^x<|m6-<$hX$O6sz*ALoH<9=J%qN&ZO7cww_dNQ!_JVH&$I=T{1R;f=*U9LRt`VtkxD?SV zW3N6BsQk^9KuHGW+fK0$s0ggc;X>4+tP%N>v*`m0r}!1o2C+bw<$~>$g=YAlPM;v( zXV?}Hbaz@t1wXVX;@VUg$4%xV(Ufj}^NumItzfakY$J7{GMCL#_>692#7OX6KsqT8 z1xY_39ydTe4zh0{ryGS+R&@!%4nRZjVWH1m$C*Pgkd{RjSFHh{IZoWC>)>gHyp`9q zFRWHh{>Sqw{Q7-q8Lt8Ito%t%Ex5t5b2C8DWwPku-tNg0(ugw@Y84lfp0r;$06?Y98OJ=9lf@;<1#-J#Pm?2xXOpyZxfHaD*R>8 z&u;XprNyY|!94aiTx^|3xXrK3WaIY32CSBF>p^9Dy;R3wX8{P*w-7fS?NpN1_VTo zP#3bSRDTo{?{9U^0$FBMFnd*Y0qjWkMx)N5Du3zJ9&NdAuZIM7mo~gzPbtbY9H5JM zjzhV@@Lf^BRpreXyX7!T5cBVLa4Xs)CGKd9GN-DOEVd#ZIe>u1^34cr<8@SO{HHoO>&O;DS}ux*VP^qi zInj=g4jDW9(_kJ$|JR=ukc2ycch{7ikn z5XPn~V>tF>YgQkqf~{zhF{#rw;e;(Vj3JV`X@$+!gQoU|A>A?D2wQ_0z(LzkMu zK#V?v;42+H3)z@Lung3peAjk$(nYP{et&P*gSAav6pUrL#|Td7?i&T!2HTa`>Y9*| z*+6=7R*y9ZlBYU%=T-1lF(lD$j_;@d$CYsNIoE8}qv@M$4W6HhKJqRi)SI61CVE>p z|0;t9C(Iod>P&c;Qk(Ih?tN=5WCI+h;An&{Kr+W=9H~P11({S()KAH1zPFOvFAiR? z#MIPz{jFv!>ukzP=2VD!cltXTE_-tP-Z@7!TnOxIGlF}eA8g0tyhc&lN!hOd$d_S@KnYU&po&IO!-4jQ|qrKrN88h0p7Ad)?1 zB#f6?;#4L&@|X)`^2Y(+-t3Ii7KMtMY9Rn`!5v;oT(CNJEgnY5fk{D+pnmU`6!H zhZ3nAsEhp~3MwX2Rh-sz;(5XzA+=26*MJ(kI~G=G_GY||lIlep$HkIOY~8$&BJA9} z8|qN56dxl2j~VLK2tT9ln7P=ua;g~ifiSet-;7ku26y2ySgKTZmP(a0cXh}v8PH*?RnT0 z_bfr}XUMqnu!h<7lfpmzDla2tY8*RyJ{|V1@4J&IOQ1P=xNnD`JH@glDfEUtZIZnZ z>K^Q__CV>|drkU&Tw(;!mTK;uCcZNXUe>Mk^+WG1JujX#KNg&1!M_?GlD`=KnBK+0 z8%6`?9TLQwyrKrk z-S2S8-=Xf3`Zglj9HnzE_&1b7d~;h5I1&8g88#ne6jQ$HO4iCJE?j$0Zka;PzKNv~ znz#q=Nn^JLeFx_gu}Kmo4=H?aD`H}EoWK(!lBeh_0PY)Rx6F-D5P8`jIrO?B!{e`JqD*qqfjmh$@dbdrKSGX4k{MfDYPT*N zu7l)^<+$-7Jd&ldzCDq>whMIt@x#ni{NEdse{46-3%TGH3@kP~Dix@c33 z%O@h+T`{rsKld()io~Hhorz|A+W6RF%0~nPqW6oRJ_)igMpb#HX0Zy}PiC^U>aAxs zv6>Ih3X4?KU>NS!YfnF#Ov3Avzx0Hu>+SVaP+#wzSlN(lSU*Xq2yYP#fDoTDEFR}X zYVzi;IschmuV}9w9@}0Wr{3BW5oA$apGZ*z+-SK`h7vy24FhZ2`EKl-GK!qY=D4~V z=8QwTUp2bLsW?ys??V49cAtdCiYv(6$8hn|IO+FCmY4SZJY;`M0nPB^VIQT*k{JZ5|4TsIy_Ycow)W{g`EU;d?gUt+w%Pum~9$t{okkx#vx+(jPA0 zOD`ztaY>*LrbU&$MQ1TpaGq92X2h|?q%pylC2$p;o%S`{%O{hGqYB>o78ZA`hV{Lt zdZlqU%X&NSU2-Vec%P>ImK}j=Q_NySux)%f(%TyIYVT z!GZ-3nhOL=xVQ#)3+~RvFTVVDYrn+SzM7fps;;T&uIXob&iNgV^vj>S57w;j6h1g16m{h`4!^$o zX+QhmlfGE|p9^MEE7*WCvGF9`L z`Vdy_F#r=g`g=fXkGC5(iB2|d;Xp#-4;g(2IuQ0<#Z@LQ6+PrDo5?w{IN8@ zmoGnQ+;{1|(c)}gZA=-iStH6V7KvmV!nMW1`;etU(A6d5bOtt^6vPkg5hAbm7T@-2 z^rPW{(bnEyM6i~X-hrpo3v)x!HZ)>F?gwv6$=Pmx@WvK;9cKi;O(Ifraj%W44XtBKZyM;%5U_vM?Zd3YB@8Iih|IV*|Acqecy0xagwaCTXQDZoBP`SwjF3;JNCwgsTj)mF&kkyDV1n+I+{U7h z5Gcsh!Jv3tr+9hXCyuX}PlP{_C<|_-LZQlggADe(n<)hl!pXqrC6|$maAZo66kzyo z{zq`K=hE>;BI^OlhgxkkV%>TP-KYtHu5Wy~{hVR`qulb;B(Up8lt^;wZ_Lu(8Tj;% za5zJp2~6S<%FX72 z7(4a~ed2>*La|nXpHkt!oCe&8axB;f7rl1FeyY`k*JPh3{}PY#tS%<(dgniQLOiG#y7$e_W>+k^pxDo8#|Us}{A0;1PY&TQ+J_8u!DX@j zWLM7T%@j}`oNBj1y?tx3QFbN=BsRn)ybV7ooW@$5q&* za{am!id&CaDGiGpu?aNk08_7nbb)AKAkk8IEPlK(!BKgGpDv%`0k|z+7X33SxKlx& z9T&6gu~W)2b<6HjgTwpx0{$oM>A@BXj(jX;LRO!CU?NTEK8nxXdQ;50b)R(W;$`%H zx=V5P7;hBPJ06aRXKRlCA6%Y>q;^b2BDCIhCe299Bk9e~C0R04sgabm?W*Qv^5Boq zsBPF~bssmiz7~7XiN<&KbB^zA1k8`3gD=*^UBg3)3E*QIx;7~kvSIB72nZ8^`XxjS znvIoHO~>mHjRJ3~81F#jJMuBng9BJAC=O(`yj2L*`&lqYH!IaZt}%oOX~#up}hs; z)vyeXQfD(){9|pkE+W~|M}*%%2(>ig3`A}fis0MT(lYNnk!=dT$NNsm7V>%41U(S* zbv8Z><5b@8F&?avYCdno~&bYjecpg_kBmifX~K><-igNc_UskpVNfr@dx4Vn8fK zKsqv`=yjEpT7n1=Uf%+6{8nH^u4c33u3Vfdl&Q-$6uAqU=kh>gGvOL!{nwi1%$<7S zp9x|e`wm^b?XvXt!L(y5aDcz8c3(dTh8(_Aprrk_xd85FT z^dzPaLJUczF#l{efm}95JOqfx^b?f*)#pVl-^E~lbQ`}u!PuOA1eFAtzX1;qtw>$$H;?jxhAyksV?64mSvgL{4*}AN2(KA=sf%0B2!15C30)P zRSHV6e!ebt@Ji-6U&$;-;Bb5H38_-g=G)fc*`|wT>a`yqhx2V8nbc20a=P-|TCccr z7$f7yoSLJywyh3Th=SO4O4~x|M~HCbm|$W)CLAjiqp4`(%q^wLh+1r~O45_ueNck~ zGcFmNYWsyS7F3}js77XgXDi=MDj21Hb0$Q_g-{QWx)sqY0h12+;9>X7fM=MTN}<2& z^u+ivenXTRr8%fa22@aOpG~{teFEi&(8Czg|A+K=M^_g08JGOmURWz zUg+5N1(f6T?OVWq43IC>(qn%xquff)i7c()B7Ei4xdaik`y;Zz`RP1`fEeUNSSeV4 zl!iXakdrks?j_-I!x%$Js$2Q=-_DjTQPeNmfXt_~eOf>hOfQqZ0QIf6iJ?PW6`Am1 z!`oXBwYrO#u0+Kv6kL+!xGu5sR{3{AoG#re@BB3}ytZzc_;1xR&?MS|oX3pm-BQtb z+Td8iana0u3+(|d)YxHSx+^WL@-%#nB75ecz9hv^7+H`tVJqR66nQIgnR0eSktZw# z<(La3U;aQ{&To>g=prf8Iz|0up!fuAT=RcyTKb3_oRQPO#=#QC@g#gWHR}ev5H3e{ zl5(mZkQkr$v`&m68)U_WkLQwFa0$LX*U|Pw3SCtK$kA|FZ~l!koC|1VLrxMd@5^O_qk&=>QGS`o71-5cRe1my%amOYk;W8GS;p>g%S` zwB?+cW6M>>NcN6u09V+89%jhc3@uM~nC}bq;x=->ID+`&j*pgPfpF8OMYz+b*3HoA zImsRq(mLDXa-)^S3k0zuJ%%{Ba>aL;Z12*amR1@seu*-%ZRjy%wcb^47C z3W_X%Sc#3_aY7r64W|_5(7Oot;Cw&)d*X>Y_xFB=(?+{41A_Ch3(gO1_W)Ckoe~o%qddAt%ZSU=Pk#T>N`6d7y_Z*UE zzlnavV)jHr&`AF(=N|NDA=4Il&G*QCzN9fM3NEG8ZERNTE~Q5fF6|MOXcT}RMa(-~ zv8!m4*R0s}euvO2#-w*(xqU7>LsG*;$9BPwN0i?fJ@OjJp45GPuOm}oW&|VY)2kY* zQpGkAxyiO?@?5&F59K-<5ZF6bp|i97tSPUi9z zw|2yY4Fj%b8&R(YG%Q{vy!Yq>`p zMtHPRIK=6ibG^4zOfqSFcu)iEX6W z_$2(ZmED2ejj1TjbNo?O!Ad)GC&<(I1xpTA@kB#FIL9J4*^%Fs2vNMj*QbcmaTY;KFmf~4jkZp z6>xdvurq%7U+nj*!#^~9a5;mRZc1C$SI`&{l5T6Ub%w=%8veqEr!}BE`q*`@wF9Es zSp>VG>JORbq1mqbm!$&Gj8XVqPuAWWzSzo@uBcMc#jqvicz6Ol0B#vQoR%BmmH=0d zo@tm6Iz7Lbz{i_K=zkHEQaD|<{^vpsaj=&2m3MhbDxqIQOE~-twgp~{-K_d^8@8v% zR1403NqTdD^=DWG_QJkUk0%l7lNVj{!db{%w2Mi31|Kv#Iw+WSHK7L;*{uC0YOa)4 zGbyjRrqkI-ok{TWHQK`~iT$#{Rq!EKlLkKq(c);&>|RayQ=ktXtl-SVB45$-rt-W! ziPu4&o9lmvKy%pSLD_%ly3{R|M@O>YjwAll@L!6Vff1Iu5(GPiZaNXrLZpLdPQY-G0)+Ue}{E8(5Nigh$1asmai88%9fI zKCk203ETGg8J)h{a}2+dW1|Cw1uQFDiOMuWxF-A#pHgcrD(zJGnXmBm_e2#kV$shD1IpV!x*D^wo%3D>!>}jAyim(i8AXqEj@TQDxT=}NuX}!tYDvV zM_aMyKsT-euEg6*Q)D3i_vfrCdZDy^mWL z%2$HG3%U2&EpYBKBUk@={&+uAY;>iuMOe>Rkads*6v{?c*PMO5nfabZj2J$}&^HMW zF#Li~ji$1o?yd65Cz;d2}C?Ghb{ zQ+&p(I0$pwABW}c>IA>ImG-6<3^$qu_kj&aTm?tgapCgyp8henpBH_NRYp2Mu}qOK!68Bm ziIMiUM3*m-B6@|d!9lufZ@8gfITE{U+6b&`AnChyfnZW{WmyFiy{DZVp?$xMA)P@D zC3iM$u z!IAO$*+eu8&lfXO8|Y#z8sQ3@?T!G3$AD8u0)h9k#49JHACc884n&592xY#iFmt&0 zBB*-YGl}sep3(^#ae?{QDcOZCQPIN)KAO!~0w$3=uJJKGuYn1!kytma@pk$0E*n#k z4YMvAYU8RwMsFU*omLrRH;N)t!C$M3iuN6V`ZwU|*M2!z4q>4P%T z$QZ)f%)l~rU0JQ9`cf69mT}A!(|aAI1TxYoFUO?)=^2EZn~{4S9!}^bxOx+;xBbK` z8ft|M>y+_!MEjegYXTR7U!M+x>LWu;2e#flQeVBDg%(&1yc()CmwccQT=1O9w_mNM z@=-f1s1#fiB2jvA5(dzER=w96S#}iWc^bBwaV!H%8P`^~_bb@nC1Or5-I#;ksrR@w z$d3_0qSr>6rm_ej>2;sUOJ~X4rQ5HN8lpE;mBPC|+Yr=U&4xel+iDx5C?#Y*T5V`2 zJ71oG#(sOb{-QhIflB*J^0_AaS6i$lI&3{=nF(P0UvA(EuN69+cpVj#tlZ>}SFH&O zsv~%NOsP}2#F))xy^1-~Lz*$VMndDS{7~qt!3jk9J@z?mUu7a*b9@nv014yv0)8Z5 zl`F1a;}6!uaS1c9t9^&(?Wa4sK)*)1TE6=?Wbg|mUBxEJFh45ak1c_h=F-0`jikTO zzc$*w@RO5#Y%aekB5jh!iZtlaQ}6TPW(NCY(}>!9vY>G5&scI>$xq~tTRW4A`ozTb zEpC8(zd*s69#?{NWTipj4f#gf8+N)g2*8BKk zezCySqRx4BU*{1n5YfYsQ8W%p-$#5%>GP?}U*G{XV+h<={aHxNpF80qsX-F(eT+== zkz+gYg^|v7vq)MsJGG_VaRUVSn1T-8dQ+x{#xRY0M zsuL-kXFk}@CXtv z&WAbm>ri|s#6vh8k|vv zAJ+ix9)*UB$i+6M`736d&q;3xF*i&BNd%HYW_{mA9}-Gtp`}Se9YMa6<+mr4z&)=KHe!V#b_xpTc6#7IvSz@- z&FIM}gVEDKkK*FImeos?BlT6?d+*z!2q1rWLWm*Ef`22DY`mShj&+d1i4LsA3#dkl z$hSMwk}#3P+uSnUp!mQFWg+fc$|75AI+4~WR^5IC#32<$=iY@q&Hui2ZZ)E{q)d~g zYgr_4K2i%bni#Jnh)sd|;H}i3*q#|^)Im4aPidV&XD7P0Q*q>BvfL>~B3?f&22<-h z1#Bz0ENq-;Knh3uSVxtdAwymoMWuG-I_1`1q%WemfIIN%F>28EyV+8h{{-G}7&Ufc zXUR5T_AxjSN6m)3&U}Pvtqg4m}Ht)$ieDz*Xu&rZ`j9~!tIr} zV`HrPR$LHtPmrA!DhHE6^Fc(JZ2cTMsHL!eh8M>% zQ$U7Ew52o_8+26d_bwmn*INf4oVQDj*?0pVPuJe$#U4k2LZC9a`+To4z z72{_T>1RxK-{IzwK_U@3eY06Slpuna98gmuJOzAIMH9lG7H0ov;SUhSu@GLwkGa6m zUFT;94gQ~APB>PM+sKXf`)|S~AAc0V2xK|aeUvs6&MG(DJcm7(`>1j=LsDB)Pzpx) zwS495q9or2;Y;#(W3Fy4$W-=sKaAIjRI~OS{11W#@70kB?7Y`oS(LTbWxc1%%?p!~ z(K%y0&{Rcbb5TR{AH;yw0iR@menTS-jko%W2>yzopN)hCznV)Y$^|WV%bD&~P!0 zFxzhcm^(!}UH9p30->lP8ACSKl+ZNnI3(BScNs0y=6h>X2gS>*@M^&Cn{=E@zMve7 z?GL}BJs(sN%5hi(5jCpL^waBSThu?x4gAi5JgBa_zI@9JsJ-%#9i#JI&4rHaAWSq@ zCL>A9&T!cI0aQc=Jx$yDsT=+`F-}*ZRdto>HoA;>{sFO;=@bDenWy2`^5&+HkHF@UtIUfTr!6VCfIB`C^AVyFPU@;MDe{-lLM@ zuQz-YGvhE2Q8x)avEJW=$P#O*Ln5!mmpErFZFmUKX{?=KA!Wa$5g)ctl zm0QisxQ2+|$Vd+a!1$RLH;N3D-c6!ac=o1kRt z)O!Gk2bJ?K%4rZZ>+ccE#>GyME~y)5GPU6}5yM6}#E z?&J*003WYWLPN=JLzC&-Z?H2GAZHs2AEW$3DuWt_69p_DwEU?;3KUe8xRfpP5~{TX z#t}*WWYRq6$f3V-K$0Y25rolKV;OilrY+-{l8fnZDTZd-lrZ-AK}(xJ6in^UYdNt@ z9N$Wqtje0(LF7#D{E5B+LM-xQ>14KvRR~L-8v1Jkn;sHUSkEENi!_#4%#Q6@z^#`& z*^>-*LHtv0T&d{@6QXRTUoyS*%TWE~4qbX%`ks>VZeIqLD-%`N`7f9LZtxCj{P$~b zOQv)#L_t-;*t^L`xaL2)AyJA~nqkRk3YhYd>{#@PcRnIueP{}+?K9pqtjo6O)dafI zCAx$CYg9=yQ#aME%@D2=BO?mYI5YVMc8e?x61D0s9eaH&!-bWI6k$e5BwoixM)X!Ly}ZBXKVlI{?gfs|Eh`lVn5OQm4i%z@BudTIZIS}v@i1iXV0s5@6~|D_ zNr!NdpKs9bwbm9MYGwF(Q04~gh=jVkWWN3lpyjE-Y%pNS}=3@GsuI?fLUu*Xn2 z-+uCGu9x*32-yX0`%)e}e?=t?)>+g@R6T|DYpcVv{ECMbT=XpSVW~b|$?4gt?|nhv zkT@;C$6OK$dQ{R$_-u*#eX3QAg5564x*tLX!F-T2*vIWCfQ|J_C@HV-`N%L}V)@Rb zvHwhfEz6BPmWTK|zGRCLrBF6&Nt7#-jFW0ek_pF9g_<2c4kybB;DVIs^2txcD8zY; zskEubu9yAhZ14JwNlt(|;f({_j?AFS<#D4DnO&02t^QMR;plh&CU=T3iEXf(l7f7g zy22Pl!pcT=Kb;Ckf$zOVW+ zEdc%JY^YiX7jGKE=!(sz9~O>@B<#IDjy)6XYk0W2bRUVd8TJ@HJxEejfZpKZb?-O zd`cfE<$-*rKAWHpX}Snei6XcAgGcrEkg+&adOrpD6*W8I3b8pfA&htm}elq_8vt7?|Z zm4!Z4q(yWso2St3)sx~!XjPaQngPhdQB&h9^xULL-)4!SO)lI5q}>h%#voJW_|~!` zx|7*NrfdH^veP>NH6~l@=MB{tUF)z&^n<2I{3BhcXX4TAPVs=B>Na*uLC~my5!nsG zr~6ISG`D!r4SNs@H+~o(a&LKGg`zl`fv{x?)MBM5GSc&S1U-Yv@M6QyEj-ea?w3E^ zy&}*q;327vxHS*979|OeME+~(ac4V;jCt-R0Aq>aKG@jA&p+Dcq zbsARcPqlXN>O3K5|8EE#uE+J=e2yLpu;o)J8l<)sxdL@M=zMTt|7ZaGYE zH~W=im2w07c23hyy+#t&P+kV~RJq#3kYO_h&pb*KVr78>gPqJ^4er?32 z=i1a3dRw-xu3p=-wMH+=qBwWq)tdR<6CF9IW4Z`z2q7>20w4?!PF*~uO`d3j#Xm>& zULkQ2L2%`dNOY)RH9w^W#cT;Q{OvIk2;s`0T!hQd|H$VikCfHy#2ZVB8SJTqK|pE< zncFVPzNTFci3TqO>xBh~-$!S^zZXY?v^nYq}9&vOlrglk?$9g_J zeyjH!OQJtGN8H>ZLEOb>|dWe=SmjN+0Iqg4?wvQXRD`2J9)+3l{5Eir^lv5oo=zTLWg^ z`)+9Tq<*L-B|8JL$_Uh7M(AiE4!KL52Tmpsj=6%=Zk&*HUNpXOEN{(FO}#aM$##>)t6+R)`?d ziW12E@y;ofxtbNt?S9d&s+>`&<D9gM%fn&U+du`CN&*F61E z%^_FTMR#1Kd+ARprJEvEM)H+!wM6J$@!iRqsb7cHjDRJDWPtc*m3Jk~5Pi#!Tb;v1~9Cf^P;$uZEI3!1byeY4*6bdJJI zPY3)}VO;;5qvsTQN5c%%!lf0FQ8IDpZx7~FUJ1Fj_fp}zSbSW+Erz}ldIhH%5#Er#Qr=RV zWwnem=Ea1tK6NL`#gZ^_b>)a*|0FXc9rqo@TXPbWke6Yb^lLiggsgCBE^#I9q7pi# zYNHdi|7d6_-lKj5O%F8`$3sc&dFQENS?PP^mMF=JaA@A)Wq6yi$U??J%e!~SL4NC+ zGNa?XP&u7Mou~ifpDe!`?I*Co#I>N8<=2CSbN!b0v5D(&iba}G zQjUq!ecHTzm-+>nw(;4<$6=#)@@nAb#hSp>tr2aY$u8+c!yD#q&r85K#v|;-N}~ws zbgg@v*mDy0pjRj7-cqa8!;8jupC!Q}7W~KZ)Sx}Zi*{8J%}kmXC&1Lp+~s-3ByL4H z?VtO3x0w8jwzHat<%37lV2`Q{Ae@a?{Nf|Ftm*OWfC|?au}gC=Nn1z?Wk+tuCmLXT1NUC`s)7h}%CMeY!7K1X@ng%p35Uti@#uTzrayh#r8 z$3AESY;zYo-28N|E5v{SLEalV6In)yCFay-vmwdoZxMBiayL<5l%W)>`ui7A6y1G zq`AWzC<|VA@Uw&;y_MAlQ{E>&IviNMJL&mu4bo3q2>AI(m}49WCj!$qFVA^>tqtoZ zR@+G){xW9|qCI}%Fn{94B z=e88`RbSN5keTtrY0HU=w$QRK8DE$o85%dRT2pfbE<-pBT=GX9qkffylv6(v`y%Xz zX0X!#W&IKe&~q=`XsSKbNVtCKWDIngb77G%ZDwfAX;XLkVq`9Oq$~ZmZ6KqHx_DVX zLefEq;NLU!50Vs@y}jQ=!_EB-D;Kyi?8B)WBRRnm1;Y*P1M|6`Dfv(2kQYM#V zYT%RSgciPa<#jc7WUcAjyopv%?)t1?sNYNk3I5b5!&onNqOkG#x(`3sccu7T8Mq^d zq%4X-JCaDXvXox<(^o;bb0TXnxD6`~g_i!8E{u1u=EvksH0*b1dDUI_$gzoRznj0h z#*Y7W6B=VxfuO1v6H2G7&Afoic{|reH-vorV-&+Yve6)HyXpEdyns)o=afZY!ihQ> zf8%FZfJTZ6gB_MEk$}7PSp=*OGD$t0RS8s9;`oJwIEJ~pXtm0*k+t|}#3h|TeU_!a z&7(KN{NvqsMhpvV3U0ht`j23P&Crw6Ln0mNBmG&s4l!1ft+M?;&~T~=a7C-ls$EwT z|H}PrOe-B*?m2{Nyu%hu&1b#%9bCJ_rDgouZBHGrSo$BiLSW)TjA7&KWOUYK(-jPL z8U^hOG5=I5X!ag|F8uN77&OBCSswSAMefg>iHfz2bM`J5p2p<~{talE zEa_7o4Ve@>2fZ9rzuRc5s_wcByC0Pt)Nvl=pA(isJwb^aui>+r&f_Mx8<21ojB)Bg z4;kCGF#|m-^@>-3RZ~kI&8C-&`Z{It_i~+-_LxaSKvvp5k;C{nXSk!mo5A+ID4U(K z3eH{BXSNOGyMMmQu+pQ(nhLXo$h}tt@*=T$#^Jk8Rv|g8vwCT$!{G`#GbFX|$Z-ou zqgYQJ1x{h>9rZuOUHPk%V-gKSl5aOv2RkC;yR?8J=dV*rPl-O<1Nlou*v?%7^?#mt zboh5UY4vq~z9;XIWR==-({}LceO>C7@=AJTmhLlH9%HFq9LDO5->;RaL9zM9-zjJr z@DbG!LEv^n$mf#tRC>LD_^md(xiNhsOYfwbOf`z!c>Q79R2Jscz0)jbF6;tE;lUvI zB;P+ED}2sw3J)WT<=}SV<`Hw#rM8O+cW=hM87yy`E6&&5an-1N`MY0h6OBX}#=M5N zu0&1g4U1LQJkJvv>5|&%UW=gZ>q&_#K#n}i%0lOEt9i-2a~@wSRmHL&freK|7}=#q(SE^omC6kzrI>{#{0A1 zzRn%t$lIpirz(>;!xHY@fxs`z<#0FqNK^Fr-xf^r_rXs>V_@g)vY-)|u_MRKPZN}_ zBbTp_*?)|b>W>_WHsW|zw^ghKXW!p<>97o%mdEipUn`?cNZpu+XR{^8vQec__ODso zR+8$9mooc~J)LH!n=-9Tiq5ilUZPyXMi1a+_465!YAAj@oG#448zL%SzpJ=)#Vt)4 z$F*0FdYT8+_kPwU{l`rS;pBS30MPz&TOt054n%bvtMj&m$2oeVbR46aH<3UDzg`I% z5lsH*WolZyX6o6@TaYcmbAA-msn=i|#2~7%9uq}U<}(PBKMc`lOmzq>7Ar&Zh+87| zoS-lntF<)Z9m686M>AyXRoM|45Nti4Q8IjB{-4?M2H$UzMeNL;3T?K+BHY+_+aP)~ zs@_a*sH| z{`Ph-O|w+d98aqxYBW>BBZf^3h(~i`PF^!=sp2V!Knt%kh;!)!CpZ&h_{UBCyfKAb z-COv4uHzv?l?~*r%2RQI>Zh%>Yma)$RnU>ac1=A(s^=SgZy7o50avlf!qofIT^|W@ z6`=H|<^wDL`FxcjX zdK3Sz@8SDeru$%>k9cFjw;6Po?zxVjg|jJ>GM z`m@LIf|8_JhW*HiQt2k>r@k@?m#x3QxoTnMmh(Ym%Qf82RYJ2F^NP=I^G6Xv{Fy!U z_DCgN!p6^k@HrUqZ8Z57u00=z+oo+n)#0p=V1*)`>9$Y2KlI-PzL}fOY?`h+(z(5P z?Pco^|GPwg;r)O5A~I?=aw^u}uFBm0#9y+Em4Y!KqMPCM&(_t&U0CZ(nW2^|`c|XW zvFcE_8n}8s{zs7byDx4Pn*cRgc7K^RA%Te!9JE&V(8k?)a97%_$}wWUcE2R*K}wSQcK5354?{zb3+X+%n3(Zx_Hyi zWfp8U!_;BcwC@#_js7}xXe_LI%mwer{4nQrEQ?tH@@QwIFfwN!^C#@5q(vytCoMPI zCrvH+`!iNA>o(1h+R?(pmd+@B`b8E~Ng#bzL0wCMrW(X{SaKUglX65JfEB$z*SDib z81skBsqS;3|lTj_zKnq!OZdlGVPmh*v-MyNB8YOd16 zB5QPz%H?&Nv_kZ%a+d4LK$m%*%T#qMPEV&5D0*HgUZ+ZH2QdU*_NCWLV%{=m<=+4H z#tdy)nGugj4ek87l`$e6j~iS$s&I(YseXlC@*^ne&56%ylBFCkE}cw9R0LGS#>JJv z8-gD9@d(tWi*Gbeq-r{(9o46lSLr(q*!xl~KP0QJxx@Jk7&gI)AWAg!xC<;)@G!B# zI6XeJt-X~Pu-VM+)%loBjh_zTd0gz|U#k@j6# zo3UDwjK2*e=XUU9oF!)*$t>z7ngKQi9BI*3^dIu-(|}!MoeI5>8KlaEa_diRofhAA z7Hb`V`S})}&-j6#U;h$p%MW170+CaeIvEyHGM(u*am!f##^>{=pP|^gR&TKLc^Q+$ z+mce*AS)c4`mMEyz(WX?e!4OzYr9}h{(9>Pji@PiJ{i;bouZ1k-8lK`OxN0yyq^;me>klLLL|RvhUFJtTKJ&(liMvkhaV zmFn*AYiHMSx?;A^x$0z`(fYf%_%gc73us<%=Qj7~Gbv~70a`wazU5!8$l! zRumuq9P>SRM}!lA6};e=QNV>z9(1i(YJtc2NvNKaHIEpD={3rO|JKfOwG2MzztqX( z!S@+c@nsM`VquNi7uXccA6ybY;UwIqlWW%Ihr6!x*P4df(&fCg^aM~6DR>bDm0*(m z)kTN5^2Yi&>c?)M-fqwWe~h>cj^LX9rnn+1V0^Aua;M1cH7^CjERuX*0`cTJfJ6 z()uX)11zxQc&iR+y?0okewk8QfM~xH_c!4+o4=x>)uxwJJQdeOhTcJdeUnq6I)!|1 zycrL22f;cw3E%Tu?GTGZ1?L&K@qgN%FtJba%Yx(3rnSUeW%{E6x~)e z>3>=2HP9Y(@r|&w1O2t+9O6SBBDJNGecekU5Rw3~qs1 zoLyG?UrkcOCz$zLY}I|Fd~MrDsIoH&NDs%8K5fp+FkaxfYr43;eq7N>xBL^O0~04a zW0t_gv(Jn)ayTynoq%zB-TxLtwB%<}7Ug)QKeT(PG{+xgn4wm!9~cb_kMdT2)~-+OU%+2e!Bj> zxi)Xoq6T*(yTC%FsQCBH@(fMLW7L#4f06RgT9=$om}bqLuzAO`;qTD!An}I{XYD|X zo=Y=Rjjvl)TX*p=(*TI$)+DF4)I5D8xa*YXj_^mW|06+Enqs%=*)07%T~*f0xXZUWW1Xc&Fc|SqQS(9I1CgVohXxN8A2ASrLhN{WU*#*R+6@K!k17aaeR7rcUJ`G_Lj&au@IdaKB>0n!j!P+}Pi4a3!$SXx9S zlaS(j%EWka-Akz zsI47utfe8O?qBeL<6l(tKbbt7qxZR;hNkzW$(3>!L|m=rc^rfZiocMGk$) zYe&hI%M1pv-fZbqc?t4_!59=IZtvr|x5%KB!$dz;^2;;w$TZ+3Dce zq#}DcFm%!niz}wc(6!bVp0OOc!5P?PDs_`{JLpo#OnI$r5fw9GXZV+)cbSzb=a@Fk__ooG!L% zI_FbHINOf>GtRR$*Hk>a*uk6xY02);wNP{`SL1g)`jF?qb6$^{fb+cM%d~Y8SHER) zR`XS==c}DcbVGnb5?&k$ja|y#v)doGhtgh%sx!B61`biOF@gR{M5PUcb!3X=#=%_&lse~7YjzYnen5ko)&M$q} z_Cd-pM~{Pb@Sjvp_wH23w8&B1QSc=9^qH8hy14v(&bGiTqoUXG945*%K6ITy&u1a&y{hHRSjrkwP z132g3!I#FTAdEOts`rl#MD*ND8}w~7xKI2)I*0F&Nh#LF&s>p|^@OAn)P0CasC8m? zzlXE7^DW0^iORvJ~wNnAe1^F)k zcR+~0jqSp=hHyW*pX@=+(D`n6=K3kZYmkw0$B-}S{#I^dtK~b%>sr*x5I$geodv@4 zIa$YXy|v15qmFiUJrdQ3}0^&se2GMC{QA? zy-`$;ypRUs-M|oDM!8xE+jw$^)}iZ3KcuE|I|T6-=tm9zOlA10)p8#gu1ixg83scr zoGFQ#|w(&(yQ9%wrII&u9vmtb)-9w zC_pslquSw>D}K+7vQ)?@x}3bWzh(FETEq6Cz9FP4<-TM{E%zSVJgw#Wq|!gyG6>%> z8L9$|CufskJCor$BwB@KOTNnG>fPHRcaF*M0?Dahqt-rZ?a=m_T466kxEnG$NZ3b{ zH`_x!Sg+-~!F?4TE^WC8p=4EYfRMKQvLV#_XCgyG*ufAgX*^gk%byI`D)*=OHxI9xidJBde|wMqEmv!;7GZT0Z%UHB zGK|~vk5old5?vdn^0^}LN;mLri@+<%#5>-^doj3`G__xYfS-MqiT5L^A0@8{m=zj`>vz@J7fDjgej%Z*!v8YbTJT%)eLXua^t)vZ$P zO&KCywD+Q#6@BGmYI!CaXW-{pmpxqi|5%0$msN} zcx#z>E3%Y-;wa@F{%)<@CZ+(VQKVmx7Go4fhzFSrTgvmXn4Bb8p4%lX`nYrW-VnZu z1!!o!c~M^pxVR$^ngT2}6>TK6WRt9axS1hTeh|LVfQ?B#o_RO{-&j#T{=-oc=pX+ny z9=WlWSD6^|cs-=?;d^ZZBeyrLEl)+G4ggECVzuzX&ufP-EtN1VkEhazcDQ51utnn} zZOdAVdP3PSMANLLbP(9dn}9cE+r|VX{=76jImOmxdxzMUmZA*l5QjJUDfhM^gjF;e zm~@7CMavDLlHOWdU^ZU2mBu$**_5=c^m;mk!P@+62$l4;jETHM9B2qT;PEU(t<2gq zweIE#>V7MF^B#w;ro+Icrib@SBZ@9&(0uUnZsE9-x`cBW&~OYwvXeQTb?3S&UPssy z$?i;E=IZZhwR{{&S4U7L!w;;%9w(W`cOeb)vGe9*pCWx_bTw^#)TsJu4=0CgNSFTPrTQiU*0D7lS$*-GC}y6 zh$Ojbo6Q17_8J>;tROD43ssFYPPk?5ROg>X*%U{YeF*yWFsOhb25MeC1R<3| zFzq1=Vtg#Dq8B)sZcH{9pI}AhC=67;-nMyeoJm8VRUAkjhbb702g&9sPSek8r0vUL z^n}+ooNWB{ib=!Rr9r243`R<_X8wUmV;jOO4@h1yT{gYmHU{GspDpqvaZ3{1kPWeJGhRbi@A>t#qJ#0)B93I?@D4$usi85?aU3D>+++@sTOvIht-n!NqmdG# zh-rf8P3Z$;i%8ceJk6obMGL}5cR$}U+g3zX43dX z^f1|*sJ;bS6O1RvW&~QNA!Cb~?{DS0flzM)1oi9FJBH5BZXMP(@P)LTpL?KQxa9Cn z!@34OB{33Re{or=y&{wH=xZv)#>-Ed4hD`ItE_Fdm8FXCw0w&)x!D)o)}RyUZfG@djIxkX0YD? zgqqa6ug?wq$7I8ZJ~7F^Zs41dY6b1fI;p4#{7;fJre~lh`U<=0hlC@>BzVgC&sv2} z2EHcRsn1NQ_ff82K29Z1ho3~~DUk}|qOS9rLLCAd!-~UMu%a-I-=f?G{%KR2b7cgS z=nqqyg@*80Y2pQGFbZIjYa?s05;9Gq0K|#Atr;+3oy@>K0FIB~vG$?chjXU44V_K$ zNH)f9M1-pNbMUBYq9q_WgIC$xOv<+y*xFg}=NtIr zb7+eAT5=L)3r+fN5^@G!#rGb0-8s2en11$-VQT~b9u+K+_Av?`Q|aI>r!*4yfl2qjg6(uv4KCc2>jbL2LnIdbR*3RlY(M*F@)EPUl)IYo{kvWDtr}w zPIco$ru@3nrQ5(~zBz%XP_B1~IvPTs8QBzGb;S0>IDyaI-6iMZU53zql^}e=1nALa zH9{i;-+xui08*3j?2)i!l*H&T7PKt#S?1jT&V0 zN>;r0S*xrscnTV2tx~;e@)4^$Ch7ndre~jA+9qsk-AxMPv}k#bXzJ-zNi!Z@zjzKY zO=WY4ubRb9NhaP!hA<_W=dc{jno`q2~2k1=AaTfSL} z@Er^djVRe|*Y%2HME$v|?F)nqMrxT1`_QO$#?=sdob5bZM+v5mQQt-!rd(TVDet2j zO*mnanZSF)5Vj{iP^VX$u!Y(A^{@-uAq`wqw8{mwAu`;C z6Wt9Ob+*CKIo&m3XfTFYxn4xP_aPE<+IaU>w8tlRoO9i!@Htv8g~yRjen(MuA_AUDTx^$<^t|9geMVh z_yuJnNZ35zH5^8qgkve*#my*N6@-UV_k>gvDh2KJvBMjXUZn*XLJzcVD|q6!Su0|} znf``wXF4g(mDvhHNNcHoB)5hjqq%a58_wzx4w|=Z7*eKB%Df#FydTnF*0ETIq`H=q zjcyiNPo#ND(o}nW*6d0cG8&DCH``U`=n2=P$2H`IEo6YOyU8%6w|!#Eu>Qj#3^jze zf}lUAZF@8do3FNJs@Ph=w~`?U85GM>iGm;XnPi%bB9+O|XU!faN=0NXHa+xNvl~#G zqd@rF-Gy1R9ZiNQ!poQL7tYvW>(Ip_(kY1`hV)@6!jxp5-i;>1&NLFG8^TVehfg!0 zQ{3?Z{Hg*ilK9UolODQs#8rz0boV=Qcs{S0NwHRc6gn5^Z_rieGcXs;0VS{{G>u2fKz1 z4Ply!F)RZTN0^e3A@dH;nzd;rJxF{xk2eRj6G_zyUu{GX9!b4F$`qZ z%n_y}YMEihI#$a&QRJhMp&|5DAK#Mc&&bf`Zt4?k2vTLpVE<#2;f8WE9*>F|LZ5jY>MCk8;AdM) zIgz~1;Y6^X;q4(NL#;}kN9r_bzi{DQJwr`HIF@Wi!j)(b<#L2zD;%bWFBrm$&={qe z9*(xWUZfW11EY!_&XrnBB=$81@dj3L<%?u^30#RQGqi9SUdr7QKuEyku-?CPa|qHxMT^!SrZDIbY34q?c!zi?@zsLGRkX~& z_Yp&rydYKM4(FN8Qchw(89cUrMtiISCpRjvKto#*bpWcd?~P zMM(hT)aTlztEy5GaZ0q;5vC-IwC_=bQ0bf-xIlui1z(g|GlE?ElvU&}{`#0`5z?a9`dDPCEanRucSEtC=0uE*!1&)(C9eJf2BSjC^3Pyw zXfRTe&Fj@R7%AzU@4wdZ@^Fio$>6X&dIl}1GOzapwYW8kO<(~S-3-PMQS7K4Ll}dx zxxu&*1^8N&C^p;M%3v%cq%(tA&Ob&B2$#Q^4LEk4moUrO*l><_NhNFW%Olniv+ARlrD{#8 zF2p~!snbB$+x%$5_Ci|D4B63pN0^7<>%g%3dQ@70&b3LGJto>57>4jy3ss#*O9@gG zZ(sck#%Z*at3*{x=XSSAuZkI7+0Fm?8a!MOfs;nkiDQ5mL@9ERqlCu_@IUssaNHJnTu zds&eWMK=!MWRctPR$py=g2opAk2QqLnWNXdSSuoP9N~UTmBQGwQRBc{8Py=P(dezx zK(xNnREF?vtFM$~_OPYFxVo+sDKB2IhVH4aU94OOilCwwnGvc|Umv0F>vFRCy3gvX z8Ae#9P1C?aT{E!H%G6l2llVt5!Q*4QY?*6om9jPBds$ZLBt$sLFE)hd0q%Qr2j^w; zzq*7v#_%MfSZYPFhqtxPtaC^(pv^cTDB@9TnFWx^gE5g9*)+uMP48#2xm85sL3j^w ztGBW{lY16~f7`%+DmSA?MQkxKhY#j&6ug$}plAJAfQrpv<^5^~UModnHw7QNs8uRS zPo{@!7n%NuILT594?!ev4@)YcRCj4 zD;a94Ce1;o z{1{~U#M3X-;-0KcEt}d+7s)p@@F`aU7`P*D9AXhv^d$;nL$eo6@(D$5oYnbH%sBi= zf{(xgc%&~cvlPIuNrL8J!Ghv&YCJB5z!eZ!ns}^9em!`t0~Y!e4BzGkUfZgkC~na- zcS@qcB6%5%6fE1PAXrA6z$E{;Nq!BoX)DXmysvz~bmM1=`~@f8)M3i+5JQ+2z-Clk zH&PNas$)LR%&4X$(~aK@VM;QDOAKL3GTo4c#|81$1AIeHW>T7%Zu|spnd%hZMivWW zmN&23DtV(2AJJ!2)4Z5XEedcoqPO0hyevpK%v_PLSRDB}c^P=0@ktpn5zpL%+e2B# zUmgvTHGv8qm-t-sh<>HM7P9uoW>i}n_{~62Mp9-}QSNO_H#E6w0bXU%+aCjPYgWP4 z#>+nlAMN!7sw_T%p@DzSBtHsQe|1ush>~PplWhabRu)@xIE0SADQ%s% z?ueLmw{oR02(vKh@75+I(O?OgmP>K8Qkvo?9WAGrmcpM5q{?qvB1!tL5^zoAlrT{! zgYdvywDP)Le70mJjvKwX+Il0klJxP%*h5@>I(nO*iJrur)AV#u1PMn;#)CWSEjyWR zT(wEXom+4!ddXYA(P901%ZqZob635bj9Cc6T?J5dgCJx=E!4YXpYU<3sC*C%WvPIy z#)M?42AipT6JK*Ns74IwtF5wEK~nqJTV5+Y(T#zz zwKDul&IuBU+ReH)?0UnEL4QD-%-v78dUEO9u;V5Rg2(N;B8}$>5!C55SC*{YAS0cM zqaQ6?R;P=#IsMwubi$26*c9@bArB=o*q62ZnY^^vO@0?%>v4kN{O@iIJoXpqZl;3! zL`5@{gz`%l{I>}_+?`FpV~zYASPZIi-=CtMz|7Mj=LQm$AgHlw8G zi1A_Vqb^YyD#ET(q+}b)HS+gKVd0yXtJ-L)rHl|eQMfnyj}IU2d`Wn$V^ltbMzpv5 zC3{F%D3%d`z7E+=VBCy~9!U?fh{1mA4`zq+Ke{$;=9`IH6ebsT?tD$?`{Q*gLwP)k zuAx$qXw-P!YeI{cu2YRt81GU$E%C+1ZhBSt`IotARc=ZGow9i4>TANiHRmfy%e4#4 z9^rDIbFU7MOe`?u`RG9ewKEC^!zO*_r!bzC1o2CxTI-Ul!mG;)3^kR!Q#>ZQP`Ji- zKYw-j?xqYwsnqi5L|WqF8M6bb6N#V(BB(b>@CruH1of~8O55^U7|s1r#w%A|6>fjv zh9Kf8=;2!E@#CDn-gcJ4a8s!=;#cx)^Q&FiRPW!W7MF24bNL)?DU|U~Sl%U7868Pg zuIye&1zI7K1t@=}giGo6HK=-ZfvF zp2E12o@ff~k4kxA@&dI{3gaGNY>72GW8SQAP|xeavc?q}^*p(1SjYs`(G2W7>;{9D zSYA-p7nD|*fgK=f6V0Yg^d37)0+KT|FG>?3-KYg8NVV3QoobY>FdjzXUOwVVaj|Bk z8np>UxP>Ak4ajm`IBE$;Udv7*m_gDX5KoZ5WTM!>IJ)4<@XW_E)TAhkW(Y#+VNCML z-7^DZ;UJ?ONpUES*Ms;zb9O4gaLG4fJ-}_O2)f~^S)u1&GsEwqQED8{MVT(4)#A@H z3x17R(I*k_#++cd-I+5|i#?TM94Up1VfOA;Gg1p6B240?lx5AmXE=CyJc>3)fusaU zbj<7-;rCiIQ}Z>iOz4lmb$F{Q11Yt*q-sZ{OcsW+;lRvr^V%~4zCD!aGkGY!mtUK; zn;9NEXnHF7CA6dgycCoK!bP2*Tui*uTDLTKM+lIcX<#Bpsgiu;evp`*|rz zCb^PW1IUP$?#=aZIS5`u{D_t==cGmzji`x;D;a>~t#>%yYUMTZdK{R{!?Lu)_aeBB zC`~_ckP`-UOeBwdp`u?t3fxa{djHB%fj^|G$L93$Co?GCqVbrpde`zWAXlNHTLFH3 zdb)1kY!u{$m++xTUVDcHAlyf4Ha_gW@!{l0&P&C6A(m@0TJU%@A-qy^q83?O%E?%+ zDV!k9Jn-Dqx7i3V!{jgiq0NL4Z$2^P^A)=BB${xzoDw2)1fKGy0M`+pKY|8*|GQIF z=jFPf0H4x-eTeU~XQL~^Wjjny`>#9bTptwiC;LsCs&)evmB*v#0q$}{8{O6c_4G;LqXP--fP@}fKOUjwFUTXq*~F$y3SAW1g)VT!yo zG(F5*uR;OZNU~@qC17zkUge2qMTap0dR!94OcL@g=flreRLV1yc3ZsQ^G`cEj2eDK z=(0tH47Wmtn<7I?^_-;AM)U$QJe#DA&Nxpi#rv;bXy+biY};qf4eu_MijiwQ?gHU` za*9{ZuSDuYs^5{+GiRSGTXRfEjl*)3slPBucA9lwfP9;n19e4C#8mEr?X`H+{Z`~h z7&k6qXlC)Krz{}(BV&i%=zK4ovA4dlTi5)kZ&2)0CBLNh_Gg9~hg_6eHhl+NTfpwO zo*|1}FA8tluwnwv)?V@#8N=m>j$==no`xRhyt`Fk7oO2(v45bgPNAwNyfH4Udhfzi zP|YQIJP*A1*t4czn3|N~BJ^Za;Tqq$a-6JmniP(-ruPdnyphhCl`}sy-9KDnd5x0p zBszuqT7Tnd0q;RFFKF*^=-J6&eX--zaBJ_YQqnujFTa8#-ErhpS+jIi+8iE0e7uJj z^?!DHptE(LY$uFnZcoYilk_LcIajMY%w59K8923{cn|Las5%CL!$6CKCkRrYJY zFqOu7@VcB6e$?_Q3sY%mQdwk}M0m^Je{z$i(!4$)n`t1d`P#Iw@t_+NhA@eu=J48p z(?@3&V7z1supw4-BTlA=$D1@{DQS7sRZi+uP%ba>MPzfSoRZ_-xGH?S-YqHV1C#{i zR`+|)TW(JK%Etsj+>S1ra*cl_LwZWUCp$9ar1D{iaZoGxJLU=ZAi=k}=Gt4*_N9?& zRRDap9tYf#=JgvDd>RaJ9ZwpUK{y%kYa&Bqs8R*;pm$4o~Bdh2*PDoUuB2(q% znu2a{GrELlX2>a~KcOl?sM451E&e9SWR=de(Bbt3sgI4E*#D-Wy`<6<+>jRuq~E3g zqIY0;>qX|7_&7NyG`+P~%M6P38RbD&!^rn92}h_jaBC8xB5n__x7hNl?1=OgR+Ny~ zWBcwLVjJtNB#H%|Xxx(*sEtz5aHAnsRCWU@k2=3}et_%K`YRPZ(N36@tvC&DGD2qdN2Pf^fOa0jDEp=T zMyLa`N*HR#F@SN%fnYI)b%miSz#I^?l(Iy7e{*iwz2*6-2fHT9^fU~Q-Tqve*PEyo zK)n=U6-6xtVM2#E=cDt&G14zriej0Kx`XbR<4&2;i5?@^rh5a(1ov z#E-V=9@=-_Av|XeOf4L*)*{0MFJ*FvIVr+{bR5H|<}a39sumlwsmD!p*}_lWET3~l zXg+mL8n{~xNBUG0f6Iq2la)?ar^@yh-3{$ECOm-c^{TuGeV>f(Y};dsb^$!6DyGlq z)!~C@2SP23Zd6cLqrG!E;Vj`2pCJCcR?52suo?@)c&mNdhmjWz46AIqN_H%fI5lSE zo8$Kgy+`d9?i5!GX>cUu0I7QJ=`Y(A^G>V6AGD#+ArlkyJ_lENLNkNg|8qiU3t$F=Pu3F?RCR`)| zc|B7a5iq|0vRuO~4t{OMDf3{z)&AvS@H-c$ zedR`FlGlFn7eDq?A(dB(Ufdx}lFR0mOQ7|VaD^B>6>oPDdOU|(=FK}<#usig&pao* z5|~CyyIm9x{_wK2liC^t-8r?`da|sKx;#~Y@pul0A>(fwP7Zrqdbze`t>spzjlA41 zuN2g|IGnP@Wf?(HAES`Qk?k**0P#CMMmE4Mx^93#O`mBwgk7|FVH9K60|WJByyvytR7lB4{V72Ol+E+{8ZesSlv8JG zROAwImNfd!-XrlTl)wbFN(80x-ovEG$!CB^=aIg{xE_WZQOtXeofHTZI_V??@iV6< zwz(k4D;{b$G;e`4lL&4#N!#Kq&MaPYIVCc#xO^~%c6H?t+B6s6O zOlPh2Ya!L%=87B(ud_t)BiV^0)ZgULFvIeCACV<11R<{eFHhM#-3 zHgnPRf|pVbuX#k4h|SZ+Tt&5J251RKpA)9`yEvR9FjTx}G26EW2qo+so;m%1FiBvj zjpF&j0R+?(hLvjhhjR*{51|yqt0`tSkV?Vdpp$Y1cur&(ANHMq2OVlkKby{V9`>+#`y(`B z_MVhWoB6@i@?uekm;l$v%jTys*iG@4%P9*jl3+D?>9GvS-y)YW=ARJvDg&?d!-Z=? zfN*r<>(h>7a~KY!_aFpEh}+d>kQ5=lnW`j6NU++Q!`bjsu9y>o)j-l4db(N)Cp(}P zU@WmB??C(7Qcy*v^GBOTX{PvxvRT=L@MWCo+LW{dg4%w439(48=XpJX$ry+Ch0YVn z66S^2a*AdB#plS|+*4CQr6g38vC1=oP;IZ0=3wltQg$_jM^az)=skddpj-jeC00;- z?J-kleE6XzWvM(A%S%NvBP251X72TAOL;)*OE%;Y8KWCFZIh`Kg>fD-#?_}Pkqm#) zsYq7o)G`b`ublLHiR^Dv7{l&z;`}tP)v+zT7_1O~CB%)Ol+8+9tQ$2>AmGuc@gfav zdc$aXZ+$N(tFJ>u8fum`=?P%jdg}Oqx2NdzHYbdYPS6lS0wKSn3$Xwbg_e+tIFW1A z2=b~yGw=P`Ibr&FQ&K(L4i%jxO)vhq`Pt#p)h`dXHmN|k7@a=^FUIQ2w{N`#4_0R6BWMHnwPx2U70nhqA0)wAmEDCFbE zQ)oetp~J!#kM9tsns1}zt3^fibx8IyJzOd!ZA5>-kSW^Bmyb{5^O_3BLVW<5x;FXa zWE0iHHs81HUZKYR+lHHD)TSDhqy5aHTy4rPP|M~v>bX^tS2ITR!~rm0WTA$ydzrxh zm=OmgVjP+~Ws+Cu#}uTAFG^(J5zeAj^1`C5BrK3frL}yO=x}^E<_*0duD88Jztt=+ zc7|3d_ZWDUp`P%T=|&5EMS5SZ2+aZm$dwK}W%^3j!;}s~LbNUoB`v3xWgBKKaxud; zROS2iE(}+%F*Vc{yQ$z)hPHCXUjcqk{L}bOR?hJD2k$-ZXyJ%M32z^Uq*;E(o=#hu zwRuJ=C6T=`lNgmD9Pyou9aIms?DsG`%*+T5lS|_VJO^2dBd^zqkeC4VBEN}QmR+d| z{^17u%QUTl-i!oy(B15|>ogskyB^vjgd;BT&!hP0Ye-=RTrZBnqph4Py{q;(P_FjxplNSIZ z9ro$5ArbKRR7zSzgMM_gLX603SxNm!g|ETfs1HPR*po}*EHR8-~I85^|oD*5^L zzSqkO?6;}{sQ&H&)HP{{OzJXC;F8x1ZK7|rnX6M0GzYzV2JYN=v7!-6EpEB-wzLtg zPkm?!aH1y-DdeR$K6T4>;%*B z5G+Jf@nF3X$reN61HzEuEmi~tKkbgnI&-PSG zlI_&WV>(~F^SZeT1G_DT<~ghxZw8M#wQIQIr3ypzB&GdJVCtkl)A!VuN<{WMEsyu5 zxey{K3LlBLJSLe(m(5~E7BzaR6d{ocWay?cB_VZ8^Tber@FeoO0U4U0Sh1jO`Q9=S z??`c^A|yd%A9RZFby4?7W&_8_uG;6x()#n$=)q~=euVA_NuY~v%=?N%AIqfw7@f;T z#Aug-JDg7FIMjx`@NasHifS$2MNia=Y^@PBm6oC^x+lr|Fg9mF=n1!6lIr2E@_h0l z3>gIwPjRz6mmj}o~N02p(LW$9PtL#R>Uqd*A2pHb>w!HRaD18Dgg|F7I zk-}S|Hd^G*2s@8Q`~-9fNUHY|_c&%l|U&%5ULc+S0$sIjT0>P%V6nb((1gpq`V@JB1w@5YNq?x)3 z&K2Ncve|@G#BH9cmzhJD^C+VN4j9*+5sD5t3KDfjLX0au{x`97dT* zqZUvvk_H=D*Y=x3|a_YS`KH>Vh&&p_qsNc)l)= z;aX+*3(7Q=PKxG$_l4zAhSP{t$VYezu0CtO+@z>EeP4L!fQ~g%*NM?n=eDmXP&^$$ z8u&wPxV}E%NyLU8p>~gcVKr;ZdiOwV)ay;kV~4ocD! zYq2|=*fVTk^A0JA^)_$po)3i6wf}ksqxYhmWV6j_I;@Zq7jV5NGKuqIHxk@brsBLR zWdoKZd4Z&86ss0oZSFxF?GO%bKCX`oswP!cixcA^9Huj5ysQK8SCOo2a#J2N?7IyvoONg1sNOl$_TSx1_nl%yw9n^iW--iN%D^xA2c>B?$H*h&B; zYc&uVGmEui_e`ZsSRvy5;X64Q$!*kW^(8?gQsFJ*2RK6&<~J0D@Eas=!V_V*w|D|E zF(OhjyQa0Q;O0|q8|(R-t!cUJ4VqTweaRfObW)6d*bekEd53P4kzNyN#xmt6QAt@h z$G-Z%iejs&Je6#eYpt?Vclx&mQsoc04TR0hNAYdr2jV_GGPli3fphsAA(!44KxPXCaUR5MRO?CZ) zBV`x#^TIG`%W3FdNqi#d#kM`e5-V2?WOO*L<;aO=1(tZaUZ@9)nkT%UfNT05%b2vqzyx}-#Udw0z-$lXVX9RV+LW@ z9Zu9a-!gej4_U}k2(-qJ?R8S1*e~1a*-LSIuEQ}i1?X#0k<+EE7T~gDJ(0(y)>6*> zP7)|>gZ@3O5kFj&d*_{FD$FQq3^ z$sa<rHHlq81xWq_1?S>xIcx?%q@XJ zPgO=X-;yEL8mIk^mKD8u3o2NT9XHuL$k08Uh406~ZU9Lau^TZT&{;gCci7G(Kar|j z2QXL4Hde%=PO~Bt&54(x0Q>$_2#n8vsiI%*O$*P7KEz*LeU46ZQ)JCcPYXdvuV*bK zCFvEzO-KuJ6}DI6W988kQaE)}Sy?^8@_L>gwyQAI(I^(%yX=%J{UmE{90t2>M6*$0 zhGujFPI?sGI2hNFAq5PdmH|TA1t?ds^SX(4OlHcs0x2aZ@J%-cqd) z@T$F!d@tFY0r)%5KUu!JbY^(dTFPYf@M1;*r#`h;xO+(-v56I#%qtVgp2%Q?O<&ne zX-{MLyf7>X8pU2dV{Gd7qzGw|EOv1(BPC(TnXy)AW5drs$y{?_ezDG z?}{MyMuy0b>h!AoiN@cZTBa9b%-lfArIo@)ZOLom;p#`D(D^^4!D`1bnY&Ml&g*&r z#(J(%>O)GCNOZw4FL`-hBk4Fc#o+{e_X{rxS4r?w^LkMfpkS3)euj~i4WJ4~-s?+V zUk!Y&qruV&7&jqVeF|gF?1&ygnEE2?FqT(w0|n%A+wI2VNFt#{Xe?pH~{qcBYJ1FUMWaKN`#Ru6Sqi`Gqg0%tPZ_|AO`_)m>BrgmsEh4*Hh&U(5y6^;q&r5D}vI)3nKlK?2Rd9ahj)ULr?x&HkFg! z!p_&JHq~mRs>8_+7t|_J{q9|a(4FB5vT2%4<=6Ekef(v$sT#{eNjo_?pAMF3A8zr& zrWeegS;5U+vUbTy_tM>1Ppqt*q!+ge-Ev4X-CKAi`&P?v9tjp_Q!N(l)v8oKN?+}^ zsvKrjc?F@U>7oUmySEh0{XxQJhJ(7b>nK|24O;GHA<0VK^eLGZwp2aD3yI`iw3LZU zUN7Fzr5Y7UQ9Ql87a#kItmGZ4()%(NwEUdIH*|9;6?x-;BvZ-XNt0=*M^PC=@*9dg}#frQ7&$+jem|o0FUQSh|TjKuhU@(I=rsFJI77eql}7Zi zOF;7RT43YpXoVEnANIWTn?bUTa4IM-m%MkCL|@9uQ~AYaAbcnb-UYP+tRuw2_`{yp z-8}SiHm`Hd=ru>D8&hO6dh^Zb9f3v_`Du>uC{it?O~@m9cwTeJYoMH5ye`9Mqqla&h|L-LMJHV1;bdrs_XndDyxr!%GgJ}rqMRplBQgYI-atYKo`tX}Jk}7e zQ8wsHGjqjzoTu*=ww|3o_6fISiZ(r*VJv{6UziZE!_9$ARZ2{paJ!K-IK>ay1gTu# zlJI2yOiqLpI}aXq5*m}-Lsi=0B#d4(Fx&K;w1@;n{gRXPVnIe2Q77Yk0eU8zDyN4_ z{zG6a&!+On&K2>+Q?oL3L47FT68RKoS{zUHx%5O)zS?q)XB5!E#-@PrRO?Aq#=J@C z;&27%igwPfRvJstsGqZZTzj{XRB_%bU7qP~jc8K=my9K;HKLEK5xpst_wqa(KP|1-Oea9(K5(cBdtrl}+`n z!FY#kCS;qrOXExUbV88E&`G)l%+n$$qeSvp;ULpk-vPHf?gMo|8f#SRITW7FN~2g% zXB#vAJE=E`n~PIoZ6tTz%0fdDnA{7wml#l#Y2`rl+#Qwd)MY zEE(rn3thWoo|})1F3nbo@GCGs9*D9re0n%(0IX%(bw`nUL}!W%Ti6D~(z!G~741)6 z&-M;d?S*4ss^@yknR}k^1R5;ZJu@fi#n^0HcG3jNCSi%JA5I!Ug1@r%&`Hxtux3^d zYgqewjK)8gleMolPge}G^i2}|Hlt`utSXRlU(s1pP9g)U3IE5k&s`!QjOv~Py zww&IVD;FBKlhwrnI9bpZ7%nG1xQft-9S*~8r2n%8N$={F=T+&x{9L(p#c1>kYIz%6 zOVjzIP3M~+i1!4im!LSW&$Bkl75jCyJ8#T^uOno-mLI66{h-I6Hsp(G?%Hh!dK;)hG)V`}jp zjaP7A4j1p+w6BA+fE~sd8Zi`dLQuR85im(^&^bGEZ69^M(KZDYr-HGj6~P*aO8E{tL2K%0c}4NMz{zowN)< zFR)FB9q#r#EQOC=&T{nYT>vlpJ&dtLsh}PJVaKc=<&~nz&yrF)AGe}kiFgaIlp05u zMiUS|E_LJ(x3y;`K-yVO&TzEZURlyC^Wtc)+1~kPdvy|dG4*MDV55iLc}1*^=jG4n z#R>kTJnp2SNaH+l0{l+)vBHLM6&VO;IrPVq^iTV{g2_E6$p>X+^4pWhg=_Cuv;=o& zc*JGc1fL8D4x{*j*5Kvx2DPr*W&bJ3RX%Xujix7i1*he5hLEEr;@dbwC$%CzC|g~Y z`<<5hw4C_(W^{$z_f*~v38oddFIStFB~=OmUe0+>B!6dC55525x#GhGC6=q4ZMw^y z?)9Z2dOq9TcuP5+huHVgbN5tw#~+02YbNUcAnZth(T<|$q6@P9S25{nSry`Wxipkb z=M@>ry9|}|Y&KPyWjapg=%a%@5+b)fud`&1K3bYJL>jyz<;ApR`TkW3!&OLu&Cd$T zNv!XUhGl(X2d~fUZL;AxPt{khV&75}lGhh`6-S9(EHW68btzI2eka?d%3dH@Kgy*s znR1;?klv2d&2H49^VR*?%x=_vred6OH1l8kNWj@bwHW3>a- zGX-J%a2C9C_Y1?Gu75s9q~T3V$!^U3VZXpO`j6rZAJNNtw({sC@ra!6hDc#d6<5#k zczvBlrTm6ZXUU3-YJ|IiitNSr0v?I#tf`yO@3HOQay@p3&1!^gb2$q#3! zqtUOkcEeLWMykVwKmYaca?M>O*$lDU{C5TTm0!-I=!e+eG06v6D_UG%TV_>Ma!50d zp3kaiQ)@&=qrGI24t%T;RkhEKN!CcG8l$O;VdyP8xiIZU`roCYx9+Ld9xADbhr>7$ zWrO6!^p+yu6t8$?z;g3Ao8I_3E1M$jsiN_qtEi?bdeC81B^8&eD3v$8s;T~gVX=6N z7%uEoF@{x!tBFzds$6VUS;#BvIb`)e)3$i9h*M!{jr?# zMT0zlkU9PuEXd+_vx2(nlL|w$4t8SzZ9tO0AZD4b8OT=vJ5ICc03)3PgVXQ5{Ov1&Q`Iy)hC(Bl!R<9hwWu;4@;-cHbZ<97u$($=o{9gd~|U#=DHM}9xGTg1P@Cs@kDmR-S*PbK zf(k|8Y<|=dSrK$Cf~tDB)>S2CTTLbEKcxEiNZZSbpkc-JW#DVbWRQa2ki}a?K>XJS zB3^Hh`iM8!q6GTGQ~gho{yjl?GyiYF=wMGLyzyi|^uPa#vxCE8Qc;5c9nw=W@9;NO z+0&}BZ`PAj7%szssQZ=KAV!&iX3cGUXx3SBE4nD_HR|);1;}>;Hq(~l3cuLQSHjbYd6yW#obMMU#|WkRhk!XT#U-rvinh2&;=9;TNI+u zxEGU85y_qQt~>+D$qwTH%r5r8ErdTaj{(3IX7%Cjsn(afaUW4D?&{$U){`409Xotz z-Jg^EVOa@Z$wqmR@6Ng!n$nvCZdh_|R#486#dh)O)i#GoSyw|DdVM`urKNb09kX9l z)2A2>Ty|aQznAN3aF3+@+2P<11os8BKRevFu^Z|Cf5__}zBW z#jxVfY%TjBOUEfic>PCD!Ynni3h)o$y}mjk!#L|XI1Cm8701k7dv8O_H{(n|NaDU_XKKJ*%!#^x#ft4M=hkL>VOR{qV=0e+BdM&k`rjEA-PIr76J5-F$!l4J&K@Nx zZj}yRKi9>B{;-oilU5nE74VM5{iv!iLVx1dT9Q5F^(BImJr=SI-TAyOYpgY) zOTLfs@&8U*Gi%3{{146C%jJCh!pB5@$1l7Te#bBV_ei6wNTZSUDXUSJmtdAHL@-d| zqS~kYA|;HxLja~D)S!z0g5-LrpBO13xp-y!$P5osxwRQ5HZElk$!qyESXa-mz6@`R zN!}`7sFIhj_P(Eq!V|N-gCneso{h_PQqE9KZ4nIr5fi5LUx4>=p+Chf#Tlw<6{T_* zt5EDSvIxBkScnUCo*?v;(g^6e34D0a_Q|g|VOMZsL0isK?f^vp1Ek3+MEWXXJZ>k7UPD=K|ALCFRhTrjv ze~;wd=y(}CMzYGg+!=bMTubk8st-=(0Vn-IRZ2J_tx9i1dO<3$N^g~2$%9m$mlt_D z&Edvukv%VYHM%@{9+-BxQ$h1e=Zzt|*B4-(AB0Y$!obP$#tVD$zkk5ce8s|58W@39iJ<8H!i4U1RU?ks*M-9YF__}psJ?o z_xIzJo6V1^n#%pdX7o>UvfAqk@G*nK{j)0St69Dx!KGPgxOjPQtJrS14AHu@MFPr6 zldAYp!R(=Y?{G|X3^Fu(*z51@p)ye)5DW$@^pLyVxC2n^@yo48CbqU->p9@0I&=FRW zlKk`{|5C+S!kN^go1~9wW~JeHZll+vlzzwaVpp8#eUV#%iJU#J`=q5svZH3&6S+xQ zEO+Chva=N~!~Lp^=)K6Yv1fVoGOG;ia36a^oZB36Q#))P-hOBPBk#=5>?O*g$H%m< z23cu%kyQvT37+;ME1nC*8FRARjl+1*Z}Y?RngyOBlBd$Ur(itna2TrmMXB8HZH)HH zrVV2}nHxQ0lm2%Y=`FkRyzEB$-|H*AWmk^r*eboAr+QvkNA0uX^-9r9od--k70r_- z;l)Z9@U-AAsXV1Cz}F(FSYDM#rE#(KDT_TEVYauStnJaU`Bqh0iW`SMz?ho_?`^r9 z?qt8L-Eb9sjXvetY$`Vn`r54nr^qgOvP#PY$zA<%GMT?&rm$3jR&>O$v$SeMP|R&Vh0`>$H;aXYit!dj%*T6Hc82lGKqcy%YehS(0#GW zUa8of=?ITVvzZbbZBY^}z$A)15NpHd7}%!sdBLPR@SKdG?xona3*V)iAO$((<+bu? z6WXtQ3@ay}`pZ4^az$P zA@|35dL1qLU9`9OEbq%KKf%7@(fWYL-@N*uC82*6}d&KW!SiiS>BcXO0OkePHGKhmfu~W*InP% zjNa~2&5}2!`Z57FY*kA`t{zpWL8IQWsjt!-1Pr5%f#ArhEoqq3-8wC^yjb~>>sPbvdHEXR+sDVylnDr26Bm8Lx0(G>u4Lz zm!;?0maWWVFdxWcMmlChrR7>Y64vVo=E;poqQiX!ydi22<&FsF=)V+EjLVX+y~QqP zJ0T$0?~L`L5%Us6Wka&R?tEaqXvx;=MPsyqW%Jb<0+_|%d|WGhT%RC=Is|vgJ0mfcqV49o#%x_CcODzD3c;%dj`fXK=7)tky5XKPv&bZ-8_MHN8* z%65eHTvYDoa>HtU3hVtG{gE5}__AE!=*=1=D=B(qns8VoMaT5G%91w%a#1?aX7etx z%U@0u4l@a0t(FYA148dwhD?4|ZVX8+htX;|iDKqf4KQ-G5M@K2ioAy^!GC{t*4YtU3%Hm(KNY@n3IHKUK!@j7sLXeAt4RhPZWEuTCCA<>J~v(54? z%U9>-I;V^!=yM0o!XTeWo%y2Eq18P#!Tg2MtJ|EDrKHQZt6yA`RCdGJ(NB)UGa#Fv zkTyPKiI*h(-K}tC1BMKuj_Oy#8gwA@ZIYz-N)jA09KT6%6^z4)~Yus@s&vImnEzd0sDRTnwBdVt|@}>oDS=ojJn(*Y=p$_ z0RI&>Xq>VHiTxT=UG4NuqNDHLuqvbCn$8;=gukkZb|0l zsGb_M`Kke%KLMMQ@{z2yxBD#11*h9$kZeB7sd?}egl(<n6;e zM`+Obt3sVsYAy%>9wypZlWTN13W~8W`H-<~Ol4ofR9evv@2nre5-j|RhKyPRLM4(< zCZ$FR>!GADPyISSFPAGB={-Qv!UFR0a>jg0$eb&l%ZwqNv* z-xqKO#}gjHeZ+MZ?cd!kyQkR3WiQgIKl@K&+hm{GUtD6&dasFFdQS@Ygbv-(8`?5o z=WyXLp+l^^_nz53m!|g3S{;7kk^V7^YTGoUIn z_2=lqD0j~V(MU0oAxcSVbZ0Alxk#x{6V~7}#P7b(nyJy+TBaSa+46w+FEsg!mz70# zsX-%bF9^~GkpM;Wg*d4lZIl@>yW>6h204#8hc@Dl5QA{E*4x2*H0x9OpffC&&Ig-H zjM%zTYogqbK3_}4kimW!Q5*nlA%mSNi&vzPRXs%iyIrlILBl<*m^vYa8AW zaqq&74#xHRBluE7NtYGYJN26DC$#&Qkr}M$tNAG;Fcu$Hrt5GzRKrIC)DD= zq%^#RdgIF`56=LMmAv?v+{9B$jdmxSlbmdlA@FuEhC34-pz7hb_*$5&X7m2c)o?Ig zgnGBe*Fs;p$+22pqjxEl9N8=5g+CA6HhyxPI+B*ucofW#tqh^rrm}(7OZz7{$xE@=xc= zMktO;c(FLB=)X2s?c)*|)#c&~BZRDoq4+7^`r?SS<&brQ@vWPVSzTti?p>SbZgrZM zHzzNaIj{Fb!=G{wN>myzcSSf@RTLCC##savJ^1s;PJXCn*?3v^o0kXcAt8L0MWTt& z>%@M_S|r5!n`{$Z{&YQSuxOUS25X1U)Q$$_Gn`$8`s0U>oUxLF5S)~_C1cn z(fTyp47nj3nl?Yrg~NgJrNr~4VFRwVM3_&=q`h!`=zp=7`0_0*_5a*k&i?8$v~x7=$WGBij*YAwdw%Pk_KLV&%g9D4=adhY zy|@py?H^6Q>ip=8HhDS!A~^i?SCQ4M)3z|9ViSjOe+?@h&bU2!{&uQm1khYa58JE9 z*ufa5S|*lXV8~rm(_B^D@&>b-S7fOsIDS&J7c`kVAll)bk8*~aO90Lu#3a|ADYPtMB6)M-_-GL6Or(C3A%D+k*W-me zM1*1A*^ocPbF1V2fiBW5GanHkpQ4{&J1n_<(Qk#1(b%il^D_(g9lp>%f9$3&ma}qh zQl`ILz`pE$o9(H)3Yy2`$TmSIH#l#^?6Ib~CJ|x&kyF&hpwITuHW<<^>U~6)=v1eu z9i@`OEwDOS-H_h@JV#Vng=)Qq1Zdr^pIyt?ynddd?gY3c_%gXZ>x|70jE?mNkA}nV zWn!`Aul}fQG}s&bSTZPn5<$eC0~VOizrO7cSNw4uL#pUm+6TX3?qQXatX~tD%;08F zR9#$;6d@{U&>G#8J*kc`ESHvWo6HaaZwhhwa@Cr}kjdYVJH5Ymelv5wA+~6pzI7sb zzoWCA4*Nh%e_)GtTezui)~FXv(YTDwH&1uKxgm!ej)l1d!X3S3yCIs((lmET(XWUU z?cnJ?9Nm#c5#(&Z4`+fqU`%l{6xUleklZjCbsg1PgB%BbiqG;J4Cy|&cC!x$MH@YJ zLbR;|zYm7;aqNb`cF2uCeNygY7OzAv?e+B)W;vvopH-$$RVNO(RipW)Lo+A*n<@!b zv!H>2usOQ6&ZC#yn4va0g5Zzq&Wi9LY#|M6h|(DzCA6nO>|X9)gl6q|eT9I3pAjH8 zxTztfjZP_ghb&v zlVmfIax$V&tX&?kpTf1B#!=Lelni1r2b+n-eTetDv}vNYf?EW9%;NE!oll9*^#*%@ z`P*p_BvC7!c6FK!Y`?3vATpz3TZylPSW6V* zIW(v>t}@IWyID?)gq#U#;I_JTA!Vh~L60KjWOSDSb+$f6vf}1tfF&givej}=KW9~Izpxi7YqfgrA z$z~gb!^Jv@VjCHFv9=UDScBgn9IioZGhbW=pVHxh>Cu&n{+F4HpaN3n8ArU$p`aHn z#BJ}NMftwU%2eI}PvdhnT11#5^Q6B_jh=5+k(Vd8*A=yrY*2$4W*^eBc4d`P;fyOI zI5Xvx1^O`D4~oM8WX18Y*um!OaTUco$OsJ4M2k;fo!eSuV|~q1gW*(!PrJ>BnTr76 zt*=|yLfQiYIQ@!pGrxCK(I3gk)MBM4Wrt%#0O71c{gpzvhiX)g7E6Vm-A)2V(kE>Y zeVXVmFMC1MdkPlF!I1kbCc+6@tdp>Vq{*=0B7om}Na8W0bsJY79Fj6f%L5j3%A^r} zCU8w|+>CwrD79R}zU~@!tyc8mH|U%a4SS*>NXA842{c5yMSC9&ZjCzPnkkvYQF93* z6DezH>wBrukbPM0Yg;H`Zu7Ms6@EYfbN6D|t(!&KYb)AW_Js(k+UjkvO!8{p!}C5!Zvg=HlxZ^%b8YFhU1@jMJfw?o}x6 z+;h&*Ucm6^cTJNCy%tc^Xa?ufMv*GX^EnmP=Qg2N`8Tw!|wpw8eP!+dP5SIky0+XS7ogt19! zj({Dpv0liH!5w#ts;fa8*D|E!)C!>=HztNVn%x?l%S!1{EC&{<$6t0ybet30u_jy) z#luhCHbVYx?gI90sCO_8$j4^uuMM1RtktIxuv`nqMTEEeHo;DkqTy%Y*l{@3SBM`- zipH&=?w)_QiY|U{$7qR!UP8UrA};`E09VN{vmuYBts8``aElQ;+V#5+I(jEDz+a>~ zZGpfdVOz(>V!9bF2#rL!S{My$&*@UW;VuaK3HNAmpgUV@O9dDKw1bzCuXZ;aDQOoP4x1l!&omr6!y_el+?+ELC!QdnT zau4m&Ew?jLiRTR#BW7=W^2yP*Q{2{N)LL9om>9v$Gq<$#L8m*XSXFzCKkXR168A=T z1Z@FfpUVp)dgA<@qShidQ@#>~!-5ICh$3KZzLi9ZN$mMl_*euIa+_SYd3#59d9BWx z#;^^d^2e9^*?prQy0dzH*!Y(~RXaR-Xtd(H*3mZ(*$Dj+<7p1@iiF$k8tv!UI2x{e zOjHp6IcZbfZoE}=lW4;r90xZbMU`Ub2VMj>VYo&(SPe@6F1y2vL2d6oIeGX=SVqCdL8bw_7cZY^-6x9OE zHeg&_yNB`^EQxIt)tw5;wv|cjzAgbCpu(lRh$F(^`*S7Q7^_<0%;x)83Z`m~`7dcp ziDlxL?GIGxzXHQ>c!53*jwuuPNVfVLTpG=kATnNl1a^2K-&b_)WgVl9d|Y4C;30?s z943UU4D|*ZQ{h=mW*WnAXHpD3O|{|(I#Cq~io5S^R~(DwMhRw8bym*iH=f*_#bL{*w6J&*h^WxSg&4-wS!^43>md4-fu2*@fD71)6C3+XB_iG$^^rF z0GTmyD>GA%a2tD;a1=a?w3&A3*%98QhR$Cb)0eV@=_X1U>}PDXMYP(nVfIv4j%(o- z({6iq>ET1_CFBBv0ON%M%xBBBum+V%uCy?FV?d1*<=k?cnQJiT%#>5%R+i65Dur3_`dD zmV;YFXE}e_2%4bn2@|;eoL0GCoxdh9qz3G#jI430N$h=HVylz`stI(<7dBkZl9s7s-FtRWU!T(fS{<~zovyLWIO)iR%6=sINqa2puxFE6a9 z7nR9^HHrPQa1?(|s~DW0KYM00UN(TCw=bUA7PJb9qQlb5*&R)aH(fa1e9JVGeQJMk zyXJc@$~of(%!Mf!?>%vbg~Mps$K4S`?3i&c@&MNke*?h-2qFTmFa%RJugMy9 zFu>#7B3d_P^B>h-ID)F&u+7R%B%kP%mMNTE%#Jf{Lze(8kpT7_^x4MIJD2YqHE;;I z6e?CW<8oV!aCh)o5|xI-LvRuFfC{jq-nnvQ=7Q@l){FOn3RsD9M|{d_)0Ml_Qp#mVP>kShv&cTY7(x90$+)%n<<4I9HpXJS|HAi$9 z<6}E>)Dh7>E=32*JP41_EC)-&h6xk7x;cPJeH>9raPqt(3vRx?ze2$M$}A(k5PJF` z!9g9cX~5&gnwm_u04!B8+zdGG?OQQSv_ zL2fKhg{jfCEFR?-jbq4@tcl&E0!;a~g5PhXyxT`-+2+EQt`L<(epv7;4ij!t#&9QQ z#hwU6>_UgEI2!lcCt?|B4c?}4g<2Q|0ZYIaFDq~b@65PPK)>PkfbPZS352_1%D+T| zC|&|5Xu+O$MP~sw_Jk;Tz!p6(Fn=cTyNBZqA zY=?M=NfddtY8g8;)%1ifh=gM%1s@TUVsyU*qkpx;c8KqgyJ#sgcf|g{SrcK8y2j^= z*0Wk={Dmxwr+#$3Eu|lkd0;~CYU#i5SyaG<6Iw(BG;HiR%wd=*HH5m^z%31{M)huC_xE~KdSpeQgwI?x6Z zur`&)p#HzZg+RHtrealYk-#;Y&x(9aR9Vqmxi(C4^1$TU zy7~PTV)=E(jrsl01xH1#foVa8(h|c%MtQ1L2ed(Ut6_ zuzyqE_17v1&F}?uCDs=UgfcfB-%3Sg{o02|*Br1%RNW^f8yA{^`+xQ6JZ+6dyg@c)lRHJXYg}eJdULvYLh2en8z9@K&q!DYt+0q#A?{IYe5L}lqR5}v zxC>a{rV7pZ*VYh!jZ> zZ6<8k!skKAeMb}v(X)uKb5tua0@2IWAP_c@vDwa6EfYjD>1Q|O2J#T)k=h$(ZrBSa z5L;HL1B2@X#`P9lixZw&W%}H{YvuOBJOIW2N*)>*SrL(+8?S5^UFu>vDg6e z;YXP1n2OCE!BGv!hEqXUl}+7ox0%KsZiCLjTE?}w#QT~>g9{;i9E7Ot5suz$T!<7J zOdVWV5vXO(QZTY2v@7U+QsS#f5W(W;6(XICqG|yA6(9=T1@=>2`6{~bWdtl-viXx^ z3=Gq-`|%}7(I7^5p_fw#|H3>N%2X5CM}y;Yd4tm+JU|_WoC-qd1sZZj*e|MT%!x59 zm6W2gaj`}r{+orW4SQ>}!@FQUI{X@oB>Z^CJlWVK<<&7-aQ5RsPQCh?svwM*%DL}f zX+EM9_$8^FheQ#T1J&0_fbb>ka3qK-e2jXVVWvLDko^&f4J%sS%4pB=BYYMN*(%^l zCmNnbu`QSE)0XNhR6IbApSOI|#EKTEub9J7Izp9u**WMuXuby!a@gv*~a>+|(W3;xq{4QuZOyxi$@wXk91dPLJ5 zZU8oVyl_->>mP^GR}%U8q$rYH9~m|%GT?95AMqKoMFUnh1oG0&F9rC}zr+J@!s%fq zEu-6A6IuDTh!hSraA@-e4cQdE_Yjyng18huNprL%#V8IoTcMWU=wz&Q~(nD zb^T-7{BYv*R^eHa-zLXT8s70lkcanP&H|DCT)=9&?7RD=^~r zloRy{M!xhRe*+kAGhYNVZuD|!+d4*~3n73}&<^f&ghN|FX#8aXJam>Gz0}{yfwDJQ zD(@gf#V{*jBz*5={RE?B_Xg)9Hwqtvc^Y*1WueyFQnPGYuPYE-&C9*1+xY(S6Vw{Q zi`@!NJzwnO+8tp&UbRA$A&bXmqC=aM*>rQhYT52BW6SxZWN`VU=#ykzbCIG?A`js& zB9PN@J-_a8T?BB83ddD0AycHyz1O2wln}tOhoYc(~u3linvq8bzaAAc%B{c z(2&=4idJ1D+XNp$Hcvw~k6fHg3gDgs2=_uZPckWmkBw#&-RLTqVQEQYXNR+)Y5Ulx zvY}5Usx-a{;GG>V@o_x{ewToLIP!ySm*twfSZ*XyYZ#3QwO}a-o11F0e(Y7q4u*|0 zn3eX4JS-a5!|NVbIYXLU<+wy_irrywK415__?k+EZhEC=>W@AyL&OrHH)JmWJ0N9q zD{3T`irKxz7X6>F`6tZRGt3v0fBa>CWo-V=y2sTE2yZML*IW@m#^xKTRXpuxw2L(o zL39*D-h>4RVZWS2?kr($+Tl^!8l)kl*m%UTVdEN9h>cX39|`)be87*J+i~L^oq8Xm z!u6E*ntyggY$ez*;h@`?$`b-8oMltlIxZ0eEtqA5-n0J{2)&oVM&Bxh`MChUxKQXd za_TkU?B&$El~eC=fN=p3`mu|}{_Um=>LcMv5#wufhjd(?o8kti!taL#&jTS_W2{pk zfC7610)VhDd+?k{OZtScz2VHn(kBVSeg*+N2LaI6M>qa$1Yit%9YcP&N`}3iA>Yi) zpJ6WNL-uBBvo-BcD(4lQGAvlZ*0js{V?_X&1#1-?QS}{B-b``|iSsFo{G(myt1qf= zuK_D_e_Z!tZmJ{dJ^DJC)hg>Rw$-;0qD;|03g#bWT(>O0IQre8^{k*^97y zT}cgd32+M(vzQSOwhF^Ga+)8EgRCi4iA%ZpnSoI|r}>`+VQdhT(ENOO)ry?vJLo@) zBf{4m&%+?~C;6iOI}3%F{zq~8kFvJKu}-UGPg>Lp!fnF3(x0@*wBB;nNY2NCD$ zN3lJBFvEq+r?bP}E%Uwh z(eL;#upzBy#~~l_AupvtK^X_%e12?1#-FEs$Zt{G5Lz2$aun&vwi z>{nJTs{Zcs+~XQo75qgA+A|uL@z-qH`kYtExc)_aeNd*C+w)AypYRlu66}mR^2d0K zf0*EP2%`V6vDr+|Bu%A%fTD5{3~M$vrhces7HRvl-p%pT$w`zzruZku#aT|4X48ZX zo3DMrk#77R7I3VI*yU%1jm%d`f2G)Xi&=hvSuQc8w*ebf&+=Au)0&q*zn9Lkdk-#i z;QhP0MKRA`4V}NTBF>BK)3ke(Zb}Z(Xv0nLIh0_d1JZmYu{~~?-{TF|2Kc{06r29H zwLQwPVdgi>uNX&QDK`FMR-P-&8Ys5V2z+Hu{ueqXc-}yICGC2SUfj zT>{=17OIQ4r&sP>B&OmyXV|Uj=0}W}{q}*HM<2+Sm#{Ue{D^OoOcofmhU7 zVmbT>gg{Y>`G%^HaEVKsJDd)!g1wM5N>yNaMT`!0Reip}Lg0;h7Yp<8!&OR~-7tHO zsnYCu1iA4(hM7Oy)oW(Yz7kJy9SFoR|A$MPWo7zwQ`p?4O$V1YO0+8XD`Fd^!D-|N zH7=7jdxE1rfPpzjGP@UC+ALufZ}W&X@k{MS)`;5mKI?#nzZ?Z(aIZ?JzX?Nqr$P=jDPvpj)XoD2rVKxhi_NJzAoCQTn?j}72Y$h}%2iRudl z%fO8VONx1ov><82d-RJ#mt`OnVOwJ_z$vc_jF^uJ{Y}B>wr((107kfOFbrzPh8j;C zT-jLI8zsk0x|8)HU`&PPNds01jN{i0#xH;&eWh3SB-p6Qq%`K`V93x-mKE$;gv-DN zbjS~`U~Ax3Cgu7v{f(*557;<`vi)Jb)2d{>J7B%)h1APlfU({{5d9p4?`w0wFeWlG zI{*xjqy&uIqb5vcpGAjF^pj>jxwi!$>6wm){2^81!dW|BH$z-${Pm|eJZuLx&YIcH zY#Vi+tVX{QqLL9hHq=ytjhBUaBP~0mjL(<<%m&y`Lr!YZbN)# zv@ZyoJ&tsiUtyN-WR}4?DTGK1W%95wvwW%W7P_t+(lXy;Glq0AJ|rLV<{Gl$@GGQE z4RB2S=IniXM5>eEa0uYF7gV^@%`1|!!f=*_w}aYHxe9r zts6hg*V>bSDr=i0qU`G(>9w9AFk%mFwWMIAu_D`QwBfa)tGM5q6U=hB&&PEcqwT=* z*0+#0@^RhAR*Ute-3rU4)5^zXpZ*M(AKh|zr1f5OpO4Fm>H{V_6&!4=&@9-e_G%^P zM0n_9gLNYQn&=9TFtMV~z=rqrL!}@nD`)?Zv-CB6KP79G_%ekxM@@a_(TcjFZU~as zzC(2N+`Qzg-}cD)?}6~Cqt1(1)J@Oj zYbnK0d2pEevZD}4(p%J*&GJ)lC8Ay8>pDwru%&AUP6r2zp*ZIh{hx5kBdD84AOZJp zEOvJ2hTE&B9OtRZp6x1IzSB6^j9Tu+l6Xm;4=Fko5+2uFnd+3+akQ_^2l9~_MqyN%ac%?5kBOv1wr@%<;3=ITb@6qqe6!M{@Zw{gM2FwqGxv_EP*2}_s+^`6ehB{-~0uMHWpo2g$o4wnO* zUEA$u&%2JpgJ{c8;v!|dBdWfJ9KWKUfJBk(!gLp+Ou#-X85LUWJ3(s$8(a{bCq$Vc z{Uf!e&?;X2BX$a-1wxcj)Bt9&A0`$O06LWKOQ!<$-5A=K$l(0Z1SL!adXpGXFG@s( z{^Jm??mR4b(xSf5AcxY@Pr!^l;~=(^^m)pp^MxogdmcnC=qzWp$a>Eabr_SfFE7%7 zv9CAB?ZdCtUf7V1NDg6XC4?xWjgJv2UjXI1~+jcgj{?q9GW27lW|!Q#}hfAloE=yAg6|l4o%S?1P-qj@GYAYg^%IzVzm{2&yf9@By5;{_#!neOmwu7jqRd^5+R?i75 zMq^Jc#(s@R*aGs2)dL$QR!kCT2RN|EG@{ca*^)KU;XwEVLoQ?@lZR_y*sp=bB{oPh z91g??yt7J%ok)X|^+m%dklpM!JQo}e5>Tld^E=1k1Ztfr0h{jlq#GHvH&Lzqk+*DQ zkZ7y9Q`FCB>+4mbO_P=p8PJY$in^7aFSqXH>?dVmI^=$gR%y55bp55TC5rwoLU9PB zie1sCLtZBSjJK?E$o&DntZ;R6lMfjHlE;~7kPrDyuyGzQ51qQe$!1R)ynz?d$0U5| z>IC4;=@@|I26>6u$S|_WiVCOF*!&-+@>{0TSM>Yp+qRLRi%H^OC&TTt)Rv8Sn;{{ z;49TKLG%**vr<3laL({rFCr=j5RvD#2K(mSUw}~uFq{Bh-cD`sh?e4T0e^W&Sl^HH zrU;5CenVdfi8IHh7vm@D#*B~`DKDI5W7rL_FE0f=r{0OewJE9ffUprbcJZZaq>3M6 zqm=F`SK;X0OmK%w8NtF8zbIaGY3?NFFKs0VI$B^^ftiK0F;B()`XiXYt7eBG(dftf zv%egh!(<#ZJ)BqJW6j(z)(h_A<&TD+DEi~ju*dT%9I_GizYz9!pw|ie1`XNRp&CQp ziXl7ZUvbQP4`wZ!qVFfn8`;cfwC`&6!uD_Wik{!|xae39x_**sv&tj}$UKdiwb&cF zkZuMsE>13zsAPiUa5TV;pjBeOuN_|3WK=?;)EZ1J4p9EJc3@_H-%W)dcojZ|sFSF$ zMIjD}9W*7Ceb;CCkQUiz`M)~-x@`_c1+f_ptFs~f3t+MuB?b{;YkdC-hV*iT-qr8- zh;Zz7_Z8yaf*{`3fX_F0wu`TtwT zOHUiT*H8a}CSs<$X;Mtue5>!ob0kGl%b~jMya}K#^wmyMB<2V(YG6<)4j%}bTiWMi zghL}6Mv^=Pu4DRqFC5ycZ4MOkN|j{Oj=uKgRq!Naa~o^9#h~B$OY$d~uhY?LhEc+_ zi6u_adjY)4;4N(n;TEV1dnT~<+K6v*xCW0If1g6xyI2k%K~aT|HQE;7_NfxW?7I%3 zK1qC)HJOxUObUKc{6q*(tMC`$6m^&sf_QOqF%{O=dyMvT5XE76fME%h00>tAA(M}87NU~TJPH9kCISed zC~6(73TBENKvl{4{5SQF{!D7g!<1it@f{wukQBWtCR_)b?}b5`;5Vt1#SRk9lCW;R zBp4%xHB;mOd_;Ga>2sH&zqzhlUt>>Vv2=7bH|STAXQ6%uP3sL_hw}1Wt=nDJMaO( zZ&3*Rs}B78(Agt2=)j|wZH2fqbapggu=wWcB3ATfnEgS8hk2FEm%^=;uA1Qx?aVDy zWjo0i1||L(P*w)%U3caougOM6qzCfLJyNBPV^Tv-HUNKdefEfG%6ehqR?L) z8mi^!t*MT}r$BGZ(QVE3afq|SF*Ilp9$fuw?N<(nu5dS|qeokr^7WGF_+5uEE8?Ix zp~kWtlE8`DKrjqg3hB^P_DVE;Uj|*`bQ*>r!ZOWT)?bF7az^_T!22U5#gNu}&^i@G$?%`bH^YtPXUSM4KusO|jqT}!YDjY~v z_kLgKIP61(^{C426UdIwghP|q<`P9ASmsGAupcxqlfAd_>Ce(5{I*9BC;uR6D@#!` zdHB*Qrg8&}C4UkG=)a2qwAvnW(n|bgQ#yOwU9t~3J_k) zo)ot=VM}c%}%EYogQ>I1=F6GnJSJ z3s;*(Rc!LKy9cD$`Km{#+t&UA%XGQ*3>Z$M#bhU~yyYq9KYT3B<0vpXz8K!Th zwHi@v73|{v4IKVft2*P_oAQ$Rnu4SmMUl(HMJ^9}F<%P6j8l22OWc#^0kAOx^s`7M zT5O`@a4hIuUtor)>&Cr*$sOj{7)Y(ZRm=RMY-ZTX1Y$mdaqY>Ak2$hERHD)(fKfMG z8*pJ1!Q|;4hZS|8h(+qAr}T|l>mTEki|~Dw?1ox}J%}WHw2;`oTsNm|mfixJA9|2_ z0uQp*(vSIrtPE)M4PC=Cd#4gRYZJE^hfyze z#gP?pgql3Jmw;RUfheeLhLI>Nlo@*W_fH!qh_H?_=K%Kzoc_dUnq2@qRf zH5d}hMk=rue8|%QZn7Gz3)G)&#tC<0Dp!bs4($zBqO#g`Z3 z&=|HH93DxFoEarP%KXNBumyUz9bhn(I84-6vNc9=>0`Zr02s#?Qg2f!L^EI(0tUC& zrTkUR`RiD^`54va{Iyv8Wt=jX1_uH>2F&rp4+D(EfYJ4b!{V`2^+^#>$p+1jDSx?6 z0Xp*^He`iZ41Ho%4xyU?yu3lxN@)ISx*5ld3%=~6pGIST?4Q!U{8orD@? z4Y0t6oI&9F>p&l}6-{B>m_6Ja1_79i8V_(+Rj6wx0O2z+IOT7NgF$7gEF9X7s#qaW z^p^tn0A5}T92$BvFz^v^Sr1XR)>l^Kf2G?WV*ukdX4w_Jj-@2#!63TnfZXsQZ|JL0 zDZ}#MdE_PA!K|_exRQ#gg&!cqjmhm$J%o+Sjk$r!W51g~;1nA$J}#V2W;!~^f!)b< z=?2v;b%3$M2*8-k%WJ_Y#tzGcGC56z$YpoMIh6f9!iG)dwJQX{5Ww^&#m1FtE38R_ zj*TTkjr9e~#BHeI*hmRr7;U}F%VVUm*$nstXlopZB9q~+V@`_HK+@ogR2YC_DFi-4 zlmR#Ak2-c)D%XsfrFWo45$>WkQZVXmZ{G}p7Z{g9NwXyku?IyBKDPMu2&X>>L##n= z;0kwUb>m<~OTfnm0K9A1xJ4ce-KM83d<@woz}Lct zWiXI29EZPFXi|q}xk7LLu0fyg)C}N8(cf>R*+{Fut4Z#Vb zg!K;BU*XBra`dJox(N+FPlsGI$KkcDT-v(aQvNNsd;CL=P2?g1AQpfO-rFca1O!m+NL1V2c%DiUL_CJ#c)f6&yS*of zux{){__WiP3XzRu91~R*x5# z+JNFQm0}(B#q!Yd1S`n!7pJHP_;da*||~2A2kf-rx`}M!9YT0Sx=;Knn`} zECbsAV)p#P53*fv;SF}hfKg0=?&Q*?k)vnqAKmHbeNyLmi`Ih(fErfj)FP2Maq7C1OhrKjgRknt(hw_5I_8xMx z8Mhg5_SgSX(NIYABBrvW!7}<0;**eO!06s4DBm9zFNbpZt=Od=MZ}KLH6<@XUeQ3@S=+UL9NCNsULFQYo!f-4RtE# ziu~MpFw&x|GcQWb)Y78=sAY=-YV-t*LkhuIs{Cw*!_z5Wk47Aazct_^J)aW`Vkc+_ zPTuKqNr{YPI$ewC}0^tCC}dq3#)q7#%)&(J#^fO@b}L!98PnC>_{ zT%U$(+2erqz~S?b!+-Q?0;@Op9}xbZESf2%vvFk@aT@@my+{SHiXxVHQ>kpT53^cs z5sh(`ofUalcomn5;=uUv<)zu4)JqWdBfQu@03X_XV8vV6vw5@OXy#*Q9fxk%D@OGEsQLbqoy@Ue> z&tW-uU+>^r<=F)3e=wE<0U9Ls`q;s^i*Dxg;);Z)PTV|d?qHluH{0_fF*SF?Z0Xyk zQ#h)pi%XObOokF+mk&rC8=}r4u|+*LGTfYF{Z(XQkyng3&SvXI@3(F(58}MTRp@21 z)$((j8|`B_?=X}}n|$C|(aJ4OjxLh&H8B2xEZzW-UaOB|ybRg97pFfgU7;{i$A@fR z*#|YsP9Oo4lU%2?hr{8vm}IuqPTn)R!oheOIsOXh!Gcjaw_S zT*Bl_^K)(XslC3{xMC~^yzNqR#SgVOZ+5Ml#P$WvUU?cWm@<))QIv=Hqhi0_`n%2Z z?cIR^(;1Wy5W@O_9LPoXhJe?-a93w3Rju+0EGAN&LjaWptcfL@tRyWRB@#88tv!cO zzF8rv#7%|ub)S>y+rJ9}9H=q(n$A0Pr)aKgqQ^m>bx8VgwoW&8I{XmjeGMBpWbWkd z;0hRo=W+=5I%bbN?Oaf?mz=sr8=FB)&0rVD#<&mjE`nbnrgy+RXR=P|b6Pg8demAC zZD8Cs`u(&lwnzTM*h00_T5~ih=2+;-p49ok;_H-moT9d8MX^8gCCbeym@JpxyELB_ z#Y;j-Ob+Bv>$bKu-5C=#WJ`h+j6aMU6WuS1$i`J22wwmthdtfZjv8(HQGO~b7*`v> zAU>K5!w9`p@C7YtknF;B`YKhoip<-i-$C#dik=Lp!{1CU7Pn9B^*4w@2vKuefnl?p zm9u{3meMpq`a&qi%86&Mbis$9&dW?3@Z`B$AS=ai*bJ5532briV!yaeG}*U5a@a=P zM{n0!ad{;9^bAp*=tv1mgOD_qT)>$QcM`mM0{;R6x%CHVonkmN;J&~}MQ5BC8R{TX-^cxv-HKSE98>iCCVe}9%|J>c(8nU+qX_kxJmn3*=`?;oMw*7NzA0iAscSGF55Hacm_1)5ai(6}^?z~;}zKfLF5Yy3s$sr!({AMmv#+9im*J}hs z5|ZqAJEx6akj-*flRJD!Bzl1Jau+09C-Glc=Zp@~ALI9qF4CkJZOlNj&19CzvqTQx zYuXkPfWhjuE>tb^Rc-<=OZk+CBRGDGD)}lsxQOgg$#*+2*m`Bh%WqlOeV2s6Fk=j{vwYw@{obrv!_hM@MBiK zUX)^F_95ZPx08)RHSWVl_3_#g`QwOD%Hu zqS|f~=8cI4Y3>8*{c^i26ZMv2-c+}Fj1VVyMRv^PxzcXzUM!TReQo7aDbJ*$>alxC z`AlYQFmJ;?PJaaB+1MigJb9#HQ+sT`j(Swb47ex57l_#SD2V=AMtsrh#f# zLcMSBVh@&a)pYOoWiaFfXouv({CxI7e#qA0eP9DygOu^c^L#uLY-qzxCtu}a;bMj3 z+U3F67T{~rHOWd*H|NjE69D^+w%acM;ZVzgyvLsT`T7!G{#&n@dxNo~^PV|K=WZxGEP3W!CkP=x&3=DKXbf^4O-a%j2 zS5vt+c&XPq2d?a|WVi9d=bjL;759W7Gy?b#%rD~Q5Pqy>wmmr@XwHk%AJF^|HE1MS z&X5OF3ozcf^pt3R^E|_rt3o`Yu-02XuG1h<<>ggQU2T3U_&++^A>3842in#N0`6+V z#2O_1Z4gcuqQmvD9Q?UTY-JR6txyqf&Bwl7#e5lUm_^i1_)q6ctdZc*vV=VYJFrN7 zGOqgk;qebV*Kdi+7$Gj#gIvm4y#|NaT$bngQFXG;V;J? zEmL0x;Yn0DkC*p#hxf&ZlE)J`|Kxqy$44Up7Ud2yr_&&xlxa-LBM3e82?9dAmI(6` z)lGswr(UUpgnCyqAAj-!6ZgIJNA5TAmr?J-Ov-Iw!)fE2l)o7Axd87e>DoES+3zvS z4v9GUYU?yUJ`1_91*a(3$k0_TQA245BWzuGq+{<)HJ)#*q7wwX5y0J4#hgilPc-ex z=6GY6=qVs1K!eNzjLU!@0~7TDLLah>$>KN%L<)POT)Aj{(N*&JkazS+X#m`^Rm;iz zZz5%&Ps+{`jNu9DIfh{gLT#yWnMfhfB~}!3x!o*JAn%E4!XW%pPKrSLNpb2uNJ;bt zv2jqYam8D{UZ$Lcf9D=k>jt5O^{}9;U`%5j5k#4H?hvM$SW(7xn~TaBB2q_9a)B-(Z6~#5in>d6g zF|NS~y*6hn0j6|i3gHioi<&8f6@t*_Yb^ry6~;BZ`#-s6^Zv};?d-6gXg=N-igE}S zIfT}hy&Q-A9KtW)N-JW!j{xsY9l|#-A?~Cv7LVLhETNR(u)AQ@?bdpndWE@!Q#JsC zZoCNa{%h^Z+%4;--jrbt0(&`xr#Xb*0KsFt@KfTf-bxS}HhO@=Bk2o;$TbOfIyRmV zK=C6C&RrkrEsAv!4o9n&9rEG`>#g2b4Q8<(FJjENp9oQDw6O*&u&De&X?Y-GQG4@G=Rr$m-PWv1V^U-4JVojOs4nO|o4{H_EY)Ntfg`CSD;ya%F`)jVgwnt3hjYcu*{Hx)-vZ3n&+NZ*wg3ve)B+l||qApKol zj>DDPC&&oCfz9o2cM{HeKTn6-z~)v&^enRD4|hl8E9;ln(uuF)2QkU+NJppn> z*~P9QOSN7+?Gl4Es1$ z*jbqFPNGX?1H>=WphH+82u-9sg~x0U8e}hk*gh77iOBF; zt(+aMMP&TW2$ue{za6jJ(`Wf^M8?JlBK$U7vdmPPsjt|T@=(dDomK;B8tx$nX*YZw=-rTfY!`oR-|$8_bHF4%<3}SrOx6V>iWoR>U+CJn@MG ze-Si&J}=fOSVTX1U(<#3aJ&(AJ|XbAPKUUv;sMA@C!2Exyve8+kN`T59t4B;=oP)S z{;|<^YS7G{pFzY*UJm^BrNFyMoH9{MFuR6HS-(~n^JC9;;E|$1V@8J*t-e>eF7C}^ z9zR?71AP^4Wg2>yYh3Y6pe3t+AaeWE|GkLMw4vGHk?9hgB)#1ga374h4VR+UV41j=skyUAV!`PU9t@1KBOrQ;kz>WgJa6{avWBu$YfMU#x>?Qwd#wJw*;Yy zln>x=dz>~Rhj0cESP{?>F?PE{*aQ-+k8wNxv|}tZap>Iqd~Jyvv$d?dfCDdhU|#e2 zdK1j*0-cNw7nCl1+(-1L_mx1$n;3J}v7^;jCT*-7^NN(0e3jFOkc#@DpP8K9rYwQ zcFgbMn73N?a?DSzgpGlyBjgi%zE?Tsn=vUpS$5z|V=tDzOdiUV4T9l%r8l9xYA!>4 zy0g9?jMpnBkc^+@zW=pbnJRR~H9~|xcejsIUnXrVu4^+|Wn-ay>x-l1+P*iMmq8TA zhpVautw&)@UzORN9+@?thIogaLV1@%fdATZ_4*xl#nmD3v zh7;;gwbPGB=J>hR`}#^cC0s^T_77PJ+~j?Ype=)l^H{7?hUM~z$^)uj!&KuIG$;D6<4oM>Xk&X5YQmt?xRiR#rhqygI2K#U< zRsBirdH*0g^SDep#c2LTNYKiCSuxGmLPazZA=At7v?h@ht|!>)bg{O;>v>OE@~~fYDTWtqE~WXK$PioGhpIO z;IiUAfZ1heXY=;ldjZJJ1VaB}#ywQAhRS524UCB+YEwzhM03MH!z8dqz!U|HBme1O2hmXD2~4fT=H7# zJ<&v4BX;cum|ov(77;@)noJ%=c`0i>eGx27@{sM-SEi)wTZIkUe0{FIl38};%l?GT zR}1!}td`H$K90jT(^@1p&~eC|QZ*&t-7~EP_mcv5$5ItQh!LTXjX%s|K0gNi;%XJv z=4E0%1O7SW_ykbmU~K2hTiul&hlf!Y5HOgC$F^||gE5*477Nv`_u0YGPA&l&q!4OT8VeQ-!9Cmo%Vv9?8$T?*iI2_BXaF%Uc7UwV!h6X}*5q8%|j8nD% z_yml+J%66ha)v{9Vhr$E&Wb#uwTa_!r06hs7y|K#);WUE`m+74&WOMg2uIT*;Q@+* z3w4@%Wv+umxD-1496jJunbKhf23ttvBj{fWA<4Z-X}yC(I0^_K1VYY#vlX|{AzX>U zxt-c5<&+UZRL})>A!`Q-SUL+rWAkAkeM?bj$|<+|EMEcA-$Tv%IVoB%dRX;BHdj?r z0rn*>6+fzXyXf^&7aUO-x*#~3E1_TXH14;Lg)?obAs4Y88MU##miU(XUWljR8bPHY z8)3h}kk4bt^*8uC$4o1mL_*kqN;3whXvpcL{N+RLgWH)rF{$tCGzb13rj?cE-Do>nLIBcgT7q-(ST;Q{u6?tEKxmeDMJZs_*5t~Vy&X{vnKJTEDqO}iq zkA^C{ph-9pd4>`r z{Ufn+#F?`RdWHuT~NF88vTl)@w+uGWf5A05-1>o&AIA z!`@&SI7pOf(q_I&f+H}d3Tqi*uYtcFg*H5u3b(==u~nd4tBE#JhJDPjQJqsr2B9zZ zcX7qnw@?QhrS4L-^v>M_kn zyd6QjM8R)c|H$Z1(V;b{<+i+zpv0%LvjeY)gg6+9CFxUnvQH%roZwEOKOi3PxUO48 zm$^t;MT2+qB8>l;_nSo1BvOo`#?aPwtSjIDxo`Bt)xD!VT{{e;t=+3+*lsZFJ&+B? zEr(H+f;$2JAtt3KWaHSV<-lvRR>37ufos^*7M%;R{R8c=qssXT^ZtUmy<|~`I?GsY zM{?vsiW>MX%=ZF5t_uQi!V~^G(>Y}^iB@OfgeUHs5VTj_wxQAFDWNDYND{uGr2Z-u z4n8TfTo7fod|Yohr&v)VhRnt|Hx6$sxsfTUB@7usj?45w7v?!IyA32<2%*(##e7|f zeTb;U12fw({~VMy4EA-*_i+fR6n@2#0bGl$1nhhIH24`N;g5h=eL~%GPB#5hHkavW zCr$2lcDNq6trn4=czpJ7;O*0Gs9FO2R(Q;oYbg+utCD3*xy$+6fV&m0MF)Oo2mTP` z{A{Mu<^0i3QNKYJYh~MnSPh7;Ck3Ic+UiP52J4A>V{-S-J?VnzA`!qkd_?LyS+%u+ zu&YUmgbv{&j^2+U?0>Pr9m0_gp$*wy4q>@V(X5CQw6I_0%S5bP3suWh^MruURJIjC z7r1l|aypbzs{8;*Q3pf%J9!SS5c(Q3*U+KXmN}jJPM+Rq2fNh9B3VR>BVBG_^9LWJ z9oXdJ=NBh{d}aOOR0|duawDT&D@Pu(|8-p@0?iG_hQC6SH9$vvI6nd9x8AH=2JHvTY$rdkSlEW z!REht(Osa0AfOm)ne>wVe8{^)f;tN`EFi37ZNnR)+gt^lLxU|*zCJ0v9gK-UFkR>m zbuK$6M@H^e@5`|92L2Ovzqyv_ZJ)}g@p^nL134xiJO>U(2@E6bLjmJv#4^S$A!w_e zu=@cPex7``UjP8!y5cnG5MF9^G&))uM$@^#80F|5@ZO>Fl|*pH6+ zg}&rGQF9vX4_V>tXBXx{=Smy0z3{$l-TKVvIS2kPfIqxS^FaOju{-neoY zB*^x2yz1!FEydYX5rEknBk^x6qAw?aS#AR;D0Eo-C1m49;9%JRMQpxiufyyLt+p~9 ztwFVvf4o)|=glD*&Ic}biaJ5)3lAfxjj$hLqTH)17SEL7ly^ zVlaDf>bCY;I_jV(9jqAcctX~9cFboDa=3;#>H3cO3KncuUWj>P*r-DM^$GLotoN@A zy-(3Zt(i{;K6PA>rZk1nbP$Jp{5 z%+nPl|K+PRvUv&;ycEw9f#gJekdDWU+ruD1v5UOpc@NAe$6*FMY3Y$2XE^4wqDLQW zXVK|t+*ejaRP_Ztnnou&@L3U<=eY3=j``i0%5Ne3Ld+ZRvzf}SWwMyKbe;ra$rO2O zALs;4%oYJ>ImH?U*ciop6*g#7`7NJb!hE^xO&gpdJ0U(EVebi!mJ7WmU{BKghxZ}u zOB(D1ZG1?pt`Ped)RW3!if62Q|IFVZDf`!ncX{w^%1)*X71&HkqfM|lw9Hlr^2W6 zk_{gb@$>h&w0U2(5`YMIAGzT!(VS9>;us$>qqSV!oC1z6=H&#ip%cKJjEgx7Jrd(P zCjf>Wj8b__9vH5~eL)PH@E2`eScrLjHQ6b?n+6}&zaff)5Okq1Q8%;~dH5!+5So!ufDwM-DqhLgswYGm70%LSp)Mg|6&0mI^} zO4^|vY+eYV)!G7z;s{7;&h>ZgFsDpNZ>bJbL|yLMVGBOB4&C)3lO=h67Y-AVN~RwX zL9~%m@5ao%eG6*h%;T?4Q4xmn0MPH)XzlL4xx!H}&d}d&8w%;tzj{^9WY9l!H z_CB@?v$Hq&DD1E!g34$4Ne8|QeKkbTxr^WiXNMW|^)8mf(2Msn{Z$2e(O=CD9ud7H z0{DLuuS;cGMTDJ#v7aOAP(Gc=e7f~@og=C-UXiH+kaY1;)BCo__Bg)A-!zB8 zv83P#)jzIAvvD~cO1~u9AuHnc2~wP4;M?Q$|he$XY4qK%ZwFp4cXD1Khy`zsJ70 zH`rVn)`%@9K@%KBRdPtPY#-vpb~0+RQ=#Q>oDSKP$E)15@WE>@}<;RiGzy`Q1)UUcU@T<2$UZ{MS2A_k842*qSw6c(uFFYU7!7z~(5%SaQ>#0?x0{dSo+L{Tj&zh*D!Ec%1 zpOI`{)UQcNJ|aKV(9(zeYC0~`FA-JM+x@)^H-3V0*5>$bUhyG!f*rat?*GeDFeOuD zli{!bB?v3Hz18tKY=ltk_*7#{N29!Z#gC&m-B6o@wD}pgGw3B<;oXkj zcA&RDJ15@Pe~c@YqFImsgIfR5;C~cVNTp`o*kAvHzHs6cJtej71--WyqW6`?dFpLZ zQ*_vyO%t3L-ET=>MLn0$?ig&!ERyMf*e?^457bOsL$@Zk%hgo0BQ<39mN0(6rMSIt^ zeWJ!Lv9lt=_xM>OIbUSkc!OlcF@>1b;`Sc`*P5u7>E#`e{?#F2uXT&}Mf#Gk4YGHZ zj^wacl;ZFbb|av#kgO*}-iL0B-ik!Nr%Yw8+E!K?@(#=w)-qR~J?`QwgAgYn0mJp& z7PX?CF^Tb+H!r^~T2tv1()&0Tyw-?HOEas=2{lgN*Yk1Nr#a-|4)2V#80kT+^EhmT z{l{V7xZ6i(MPy9aP+*w;T7vzzEk-@gG;$H_t|4dUfwk)P;dQPn?NfUZ+Nld2GZ#Tt z#66D$Gu$W$i*zL!AUN%6LNy&=XxVz-+DVceY6_KZE^b3=tjY?gn z$8Nfxtwk7P~z)!6N zhSehX2A9EC0S1XfIvz8+C>5C1B4NYPcV8E6SqkAU-HwmQ1kt~ADhan_zVO);?Q^}c z$92)L-)@TFnk*re^+oENncGja#1}=i2xi!FyD8B%PQ6yaUQSV4iF%7906UL8S`?&+ z$!f7wS*f;9^{MAth5AC>Y zrD)@IhMBTM){y?Xod3uXMCj^WuD}jvzodg~QA@!@qTV&GIM@dXPRGJU@siNCQK=Lq zS&mk}(IPDnGCH)5S&fn__;ZPrB4XoUKFYrGV#I2Z?1ct@@V;J3J<^v1Cz;RTQ(uhi z54l?{E)|8a50kxNIGmZ*@*yAZ@~~4{i|`osIiUHXspQa5{lTN6HKO@!QkqJlhnxUK zCXzBM8}ecyDrQp1ZzI88%z8v0wV!*ftsRQ6K} zH%!dJ!k=2<=q1Ly?A8gWarMHbI_T9=#>+jMG2{XeOw&>zfJL zR64sW6`$ht`agPomhI&yta=~Q{Lh~q&rS8YhirlIkTgk+-az9e46a&T2^?h1eYK~jQUzu>Q zZ*f`rntMlP6!n*6RPX|d9)_K<^QdU1n?38x1_*8;4&6_i?%-xm2+co$SjNgD3_Z;t zryMxi^oK+Te7j%7I(7?zVeSY^(7c{d8jR_2m9~(sje5H~;wbH#2aQ>P_>h%^E_uGt zIyZa`cZH1G96!(cRBo_Bm|X?UGnH&S5rJ$5Oun*yVKs0JEnVF-fpFRL3^-Urimvw3 zU$&p}T$w8LlJh6+EAYNXU@d>94g+?3xMXpZ`m%9NL)5lagCW}WtBpoyazh2ms43@X zOg1vavaS_P?D@4Y$sW=J!8@1l99_|}aa6;Bf0s#7p0n}*iedmY#SRAHu2g7;x5u%8 z2gZiXZRWu$)S;crgPZ1SH39C8SQB}zV@mfBv1_oUYWR>1hqDArh~mX)AM8W^ z3yRUD*l~dVN9%z!duk#I-(?4!P~kGlzY+v^ZP~Ey@0%uB5h0iCSH4*Z3@Zog z9C)eaL(XcwHvS?@jE3EseQJNfus8~LbsT2p-q&@d4elPFX~&v4Ls%3a<*R@h&JJ0F z?u5VA75#GN<9Mm;fcwVr+uWhPj4Kz(hE5K*ArH~8shr=LS-xEljgqwU?c`2?rZ1=RAs29)BkwU(R&*0AI(;uH?$@xy+S4S6+l-Va+J5}>(Y5P{{%gTK!=X+nn7_t>HmBa*I<+kV*bYn&6N*thv zI}`23q%>ku*oY@jV0zo)J50(FCWZ3{_$sTg0dQlIhx2*?Zz3fF9s=OpXr1OI3m*g# zxl=1#1ssh}e67F;xzfGa!Y)d*kteoC@QJS{Fk&tuBt6%CnlIDldmypT6`iK%^(3Mb zFy2DWPmtV*IXKLG!RD)qIV^!TCD9Cc|LcFf=sO`iyHwP=ak5dWrs9V~A-E*=EIrtW zq#=oO?>Qyr_d8dPv}Issx1{Z|V}_?9W!SXDQgpJCV#r0sq#b2xc4XTUk%HX4c6i-r zm@J1P0>F;K7eIqWi$@j+$c}Q+MrP^Ng@mP(%?3Esy~GCLZ;&t<(8=stQ?yaE;y@$= zA$?^-vcE{tS+RJusnMcwk^Pi}wpBn#_ETikNkv-Ej=Ec~u+_i2M}*gt zsm4U5l57OQ*T8{gKI^obGNXMa#`YbS zjRb>pvd{AK40)X9D`>HDQndWgiAGU2%LidP4$yoBn?E_mtmP_H8xD*;YK_mZ@hlBS zV(9Rx6K{+*Y&^-#Z)@-n8k{SJj!CwS`3(|^rt;sTCP&@t-x%GvQ;D=;Wy6}ebt&0^ zsD%{?@IKc3Z$5r_{=}%P=yUx`KTJ#&h4KOa5N zdH(|};r2|-c%B`Qck`z*J79Voh^k2p5uU>|$fd z&w%f?r+qUBp1~M;9F%A=k|TrX`^s25eYT3fLZ5yIN~G~}H9nn^OPv^fNy^XyFqCLXIXjPM(mgc%hqD;7E5w>I2cng zYdX^*4N9a`wNdCrRS@`Grfx>n3 z*qG|F_iAu>E;GY<^KTaK5KYB8_dXtVoB>Sy@X0O?^XZA_XJ-IFS{`>pRIr~gq^Uqpq z)~uO5`#w;(0#qe@0C1;&O}lbqknt*1<@KA4vR?gbltPg0E;)#`b-;nYX&tBm#ji$H zz^hT-&64ICF0vfKtMlbs*3Fa49_blo2Z-iVhkePu`{N!RZ%%+f{syACnw$fN7azZo z5l?m4*`vr&=wrVzSxde7K-RM zAY(-l9Q)D@L=BN)%XZ46Ame>>pX%O$qL!^yd65K~Sy-aQUK_l5MUuI#Q)hv~%fO79 zOB9jIH3MyUyA|yV)1?@B`=m%N%;l9U}}ygwGdsl640V zI~UJh=(f5~tI>WStd!T`%fv$s=g1oyWqY~1HWp@bn&L+zhPEv}V{qFa#Xhv{x=)1P z2?ky!^4Wz>Z@XG3{7RnDn5U!#B_&h*Swq_GWeUXG_ul%P-uHElj?1fpr_V(%tMAKO zu+KmTO+YVW@Wqb1+l0bsmW{`MVb9~GTK0Izmq6Tez&N}tg)4zLwBOTQXwi* z%%1#FD_)A}*KUd^unjiJRTwbvi{kNBsFb%oI}};vPMTF6(FIXksSvFRW}7Ksdo-?6 zKHM8F(QP0%;6t41GD_VMGr<5`;Y&_uvTMEvrw(MCwTShvv0~gtEQ(h^E_cDCwi`-p zGe`Q%^9G!^}`myE3n(jIqKZip{!QjL*;Ioy& z46u@IJ2;z6)8dfe+QEH&fj#OQEcPgYk7^ zEvC{|5j_KqeJZ#TXTadaQCKsw;;;_w3UR!o74!dqjF<4G;_!k} zcqj&rg^^45>3Iq-ga!K{a>2iWtvH}C>SdhvgH>=)Xcf_~pi-6z$pEF6!kxfOK+E^} zSxVt5$nY94)Lvd&DSQqT%$6^|zjq&H(EuK`zA);Ezp~Zns5c19A3Fm>oG1Wa z+iVqwf8gdOlz=e>PI!!Z7LC6H-be6-`4#gUUsS#Edod!-hRC4;>z`8p*+2<>LTawzlxw(y7tzV%Szw!IQ6ZkI7=PE` zwmX%0t=!lnV(>uk_DXya72a9mKLg@^9}ZHdEAf9rleUox{Sjv`De*-yx-sd&Gq=tIJG!PQ`iUlpJ~1PYaHo>AndN`~4mVNL5Pm+~~AlaDjN7TE1u z!y{C1jUBJKY~?SDsbgQe1HNDTfn33!iPnAsJ~%kWv11I6ms(r&>uBxy(6V{~PrZ+R zJ3R_$SPk$+V6+*&RAYP7(ayG>!w{icwZEZg+ywZZ#n6Tqiow_Ryz0X(fnhs_8?1kQ z?(7ZidFFPw*$6cSI;x8frYXvG)ag9K?=P5Y}zfMrZ#@r7r-HF3qCj~{!pB`)BmIa3im}>qeBYUR|>8E0PA=P&s7R9K{eOo3oI&+U9>)_HuevoqYgoV5LTG` z{wT{U!P6F(Ew>rocJ#KJwr!}y-v$rng(wi(2B%KRVP)MvWEaTm-FkwC6<7^$em3;k z`HIH=XzgYA!ZD}tnt3#SgeHx~7YrDjG49bQ3Os-3t=n*PORJz^1@zLOB-H@t|M~zVrg|R`*&97Q1!5w(&>$oC)Ht#uvI00=tijgBD|~ z1q+`Zn9Wi+5yYJhGI59kwv%3|6rKa(CV|ZGvJ_4RaVLfpt{YNVI}T*1IJ~Aje-lj4 z(K<)m+Sjl!Dl{(?pg8ye+xq^+`r*j?9SP4`EFMh2fb4(E}rFY$$Sy}OrO zx9w~pKGHVW*oD2RlO>O{*)SbCE~F5pS>hkZI&8`OZr8!r)_4qn(&6T(DH*aqx)o24 zucyS@T*txbwAr6GwddUWCunTci86u6;9`NJ^%Nz3J@Cc_*p~u>6Z5YLlG>Wze+6$G z7LF;>wdJwL7Hq#dJ?bh^GLbB+S7f$C*|nRZdOcJbll_B=;P}oW|6TOQ1%GV!}YbtW#RoYl_$M7|;(fksWby!Ftjs&*~b}Y)e0FBeVBBF@nYm0$fx8g*S z8`HUi9i4ywO0QN4Dqs=&pezEk2lz2qUF8%FG+t{=C?-{A>-E*_dRT{0?~IPvDJ59vRv8 zt^G%|U8tKUmjoJ{f;TkRHmVN`TCjBrCz4_Rye$x~dND_$Kzl5r7`J{!bpi^Ui2_f5 zx!ulgwyV!k1Jk;odvVT^oI@2~nC$;_>IQ8`X}dlE8JmI(xE3+5#0ySre=Pzs{sc0V zd$&;g@IbK5D)`bzn-9}j+ZAxZJ%=xxosQ)e>`b#wE{NIrg_G=?ltp0U{=%M0Jm=Ha znv>CvuDTdsSTV!u(rQwmWt-E`Rpao5H`wFZB^mYN0wM*z_`61S(U=8 zl%r%*=nQinzI3nSoR8;3e;OIShA-@m!s6XRqKKj$TsS}s9DIP*^#uBB8)!BiVYgE; z-$(kOwhkP7*o$@YLql3G6*R1|^Z*`Gz7%!g5b?h*wQt*(L{%1h%q&To>rQoIcy#TF zns``#Ar*%r3QvGyCqbLkWr;rktz8-%g?*0jn{OuMTA;2#e-9E(@07j#*|A#x9IR@?I*3;d$_F?FjgF2!J?PG<0;-Uy8>4%4|h0J%6M89=et6y@gX8&G#=H zLU1Pmg1dWg53WH&a25&f?h-t>yL<2eAz3uR-NWL#u(&L`u)y8tE5Ew;t+(EP;Jww= zRo&C4KYdQm%;|G_rn?8|YPMJeT)C;RSy8`{4A6~k@_cz6Y@^HSWD6xMQ4!I9IO3jW zI@?x#z=EhPJ++e+G!v(X6M{daaF^*6Mz~KKKWoXiG9|C^*Ey6gTx-0zuF?#`$p1pu z{FepV!dPRQmC)<$L&0EJ;`EB8W2;8Sa;G0avH2@Gu_+{UzCMmy{>huDE8JWIj#F9% znJR*tHN-sO23X)y;r{OM@_rrceIb~ z)E{|x+33#6Rpt~}gBRC+&cR$r3fn^U3*k&+3PPu~e*iT&`HpORPB1ol2<(~6d_iqQ z5jk4tiyaENQPks#_kW2|6Fu)Ldc_hkL=`_;^s zc?d@fH7zSWL^}91Y;aJ-+P9~VJay7gF67Uq=nKaRd%t8dA}1H+C=oMulP6(GUH{VW zC&=8j_6^ra^DCRqn-+SFUsYLcutobPf+aekDpFoIwxPAm8|d=xXTo5dNsshO|8(Hc}<1wlR*FIw--BjXivTV>NJP} zZ2Ek7x%Q{)C_KQ+W$LHdgwmjw{n1Cx35HfiYUBv`)Yx>x1i7`rVqQ7!J77r)E8 z)22(Deciw#y7+WYxAO3dt@v?I?4GU zfP>5FAz4#&1%4L?vyW3ul7$GwCR66B*;H?o*s*IWBq`)(V&NNm7a#b&u(?Kg2>ZvbO1h zWBE~}1L(Hs31P@0?fmwUo^c$d=LY&D^RaclSA<4 zM-JU>7Ca&tCT)6#HzbiMUU5LNCMUpA;m-o7>>X597JPHY-Fj#oPB)gc@+aWkzw z=1cl%U+eZDRPcsmcT^g)-j{7h#A=58#Xay&^ky+>M1<$8waw0i9_jv7;M&b9ZGF9v zF`eqwNQG9*9FxOGloq>rG$`CXB1(H-!;Y(_U;4d%#=6#5?$UlCm#MIaR6>B9IW1M6 zQKT?GzSm@OzKqB(_ox)h6YIB(eN#P5Uvkv{WJ!pb2=4r-{9HWZzAZ=e&otC}*d1!A zw`mJk6jZR_E>(9@u(KoRJ7JU_Ch~j}>=oBsmui2A{M+ADQ;|cTJF7}rh~rHl7-R|e zDHi(RR6XFbPA?T(iusOo)bY0cN{w7pg3OmqS<=8-FqYQX4<=jNG?$t7$(J2~a3;&6 zH#diPbM2L(Yq#=gLWWivexSuEL-w~uW#X3HNKPIvePzpB!QU8RCboGyf5^#nzmzEa zDDU5qcA>EJDj)=y#R!e{t#sETB&GBm-d}j^e(d|CvE^Rus>q9xpa4Uf!3`OsZ&bjU z4|9C8cP}{#@h3WISBn>;)UUk5AWOlfZK3O%j)YPOeNB-F?rgO1YLR+C!@{N zn~A$3P7uAA|H68%y25I~{u=JK_`*kgQ3356y*6b>gGrjQWZX?~d8nNceEx@pN z{Cc_gM-c~si_r_ri_Hw7mvK?Ia`U7|%#Rf(qUH0fnQF(U(I)``%UszWUQv17R01ZG zwej(o`E$6W9ZE}k3a*eN;dvyoH^ExZ@l)uokY+@#Dq>45x>nnlmoTVFY1g(vizrs9 zj4j*gsg%vr1sTO(L;lYt4p`K!i(>uuy9kW<#kaSn_3FLyi1g(P!Za$!55l!%eWDzg z@9=f2OfH9Y(boOIy)WJR1#8L@O{(6r^z>PfQ8{(Xiqt3}$=P%+!`S z`P13|^-P}i4&pTdg;%`{(7{z9;t<~u&bkY4%_@=KjgMr@`%uPquUf|T_I=r2YAvuD z^NUk4*DqL^s>dU}?%uhD`4Yb>Z+|DD&Fx2QZTx6aKL3Oo8rd?o>1melbT!xqe)GEK zL3Up}zB!mhq{9Ci6Y20Ji1G~J>%70~>CYnr_}&nU@j5HR@Y`%hR9K-ma`R~xoAS#F z7-XFOe9-J~0mKlf{G`UjZWaT7v1{+nb+sS}jfdq7kFx&3-X!k#P&+|)D_0L~Ig92Q zJPIF&<*;`&rQX4#uGtX{VRxQkcB92H{p?L%Xdh&c%x$~7enTvsd*n|VfXTmrm*oXl zAjvx-_*e}LZU7?uq)rroKg_!ecj%5I(5|7X)#RTVV#KjD69PtA46!VfI&f8?SeCVB z_kr+{upf6w%iT9y!A?S07hc}Ce%o;`GqA;fI)5=ld;G4v2xc99p;0V#ijx;;{KzzA zHGr0xQSZR{k&mHn4B}7tSNzR_mm%gGRJp)+CfeM~6{?3hTy4Z!zB59CQT}>=i+-Ob zS$;u{WRDh1|L?oMu|J4lbSh_XvS`#dGFR&D zgC*)RZXEriNIN5W+<9#Tv$HZ3vD&+nx6S#HVts++$+jKpv!>kv#SHypAG>fjbo_Q` z&j-)SdphyWw^kN@)O$8fnH^niyP~~Yq^ZYZ7M?GeZd6{vt}sTkGm%eU_rnw@{_R?S z4-}8InBo}V0sV$DD zNg@8`BQEWmf3hMG;_vxmP&v`2kl&!;MXuuGluGYz`h{+9mK3|Am(Y;Og^$vLkxHfc zZAE;kiiLeyz)`mNIBfr>N6C;yN134^L!jaPQ*-Rk<%@wo|7qzz^wktHEHS7v2sFP? zL`_57LNpd!Doh~lKhXcCm)^yfkw$QlAv=tWU~1a^T>QP;x#lp=zo7q3&lZi3iQoc( zM)eO=YK)}n;s#I=D+yIhrU46Y^C_OsP3}=K>wRQY?L-4>>vK4lhOXE z`5#e}$^QlYf4k=YcFq5fpPDa{w7B8rA{`$Cr$;qLY~+MOG+nwJF0}h!z=ZH=Rky~5 ztVo}7BX@OLeC~QpQWnr4LJ8%6o1>Hp83U0j+y%Zi{xo*}YFhLu&Yyp**@yCg%QmGN zgV0bl+}dVWh2d|nUCr&>$MD&Y2DvqKw(7a|>TRv_9m!=Yvv$M@u~|1v^B^^~Ao-fX zu<|P;tpvg=EgAb%mDr>|-x}OXs(qD-=(SS5o40Q_Gwi${vr)w?+_FiBZ|F&)4MWUe z5pLw8&&#%m%>x&IWy&&XWb?qtcbF-c-vt|v?PuPURmM~E`OAzgy1iDQW=xr5PH@T* z|5jn5tF{OvWb>&FA(IYlmF!YbRwYP6x`pLXz8b$+$IVLCZH2KPi@}aX~(W!t%+v>b8N22_gF6hBl>jE0aNUTue9yHL2>>cPavR>Wd;-fqY0Ex-Z%i+*s&c`Q@I zWwH6wSmF-YxvY)WNmh_zmdQ^AlnHHYD-1jl4PMT6d(9MI$nOljvRjt>j;x?T!E6cV z7u!o(_Gny-I%|K8X*;AB?8Mmf!(|vWJk{rp?m@xpf9RVo6rB3zoi(%^(H*Tn)gA`# zZg`_L~t*^{??vS;4VlLc0C;#;Y^@li<`Z@tn(0w0bVy{In;+a(o+NK5=M~mfnHro z*;oO3@=@1xTH2o3FCGO!uK-1QFSG4DIJaVIUh%l6S4e+mM0G1w;b3T4GM4=D7wk~A zW^_Zv8~CvL!ioCvFUHQxN$J_96^ z53*_b@FtR%LHA8{Owjz-uvw#f+hWgS7MNLam`ZgmF2f{tmd1$=l(BDb-Yq(@i0QD$ zYWt2?huK9l9$#q@zc!x%!)6r14^AFqi;w^-s5JIP$r^g+@>};_ec!44p_VUM5(H1j zcsD~SQ5n>XZFQ1nRQVw#$8l`_LZ>ojkCe~FIyISroukNA0JsEQP1hxo z%NT89Gq13hclcV-O#c0q)FvGu_Jay(qvew(!2Ot%-7xHamQD3gRVccuyS)437xZ8| zz~tZV@@JY&BHaq$>#CpQAC?raAF5XIm*- z!S5YNU|3bMIF>ZzI2aCYXHBzeyg@x_*1!`Qc$&QFt2Brj>9HPmDQc0N5sVwCai@PU zrsr5ieSiF#L;8#Hg_RFW16_%>N*86UITeRlLaN3t(|d8vuQ|Nl-};v4)($-$cEu83EV0_A> zE%H5Q0=y(OPIoKjk(I=`M7N?y-pYM;3)L58_*$_g%vGmp=j=uiojjhb(I=WKNI=sk z@GRQiXklRYO6JATXq8xy=>&+hUD7bZzEKkKg8oOSz%`a0^Sv6eNj+~F5)zZF685il zR3(MOq~5-9o7tYe*=7kfuO@WX2G$lL$3G!BhMt_`w|lpW_mEXKr!HwKnONAOy(lDw zmQd2r_|D)iB?Yn$d&VoW?1W;2;742*2gwYX`BNSgXW|Alnf>n+iyPL)zgJ!QM(7ic zQ6Sy#qQr~>i6J{?DWuS?K;!q-V1mgZl$^v1^dYMEQE``UNF~~J{$Yy#rRtLbbu^x_ z94}Nuemsa-@+3a6$Nw&r4T&UZ`U)pfxO$Z|Uq4rqBoL_{)Lj0(%F6mK6PS0miLTt*Rgr z`2mrAr~1c2oIJ6^~2AgguI` zo4GnOwv0orDChV8QhIrI?wS;o!3rHEneoqjJKD=a+RG9Xe|FY-@Nc9|K#n)Wr*#7t z8b;NE4Wd!#Bgz}d^YsbXxkWGSbRw`7yXgQajfXDuhwRXqzrBn63euR6y04Gvw{dsB{CvC=q z7+^4fYhMvgwh$^+tNwq-VpTYi;XRH^*ZyK#%-$m&)~89=zK?M)un$%BvdwV5<~W39 z`Y~2>Ajwt8X>imCN!{4DmwS z@KU5)`=YA{`flcCdpUo@xPEG1xX)F0FC%6K-SQxQ&lf!k8HVr3oOY0a#AL?~xW=2Y z58QyBBqlLO;U(xZQ2V`jcu~~&h@{L*Aphr31Eo<+RTuFO*$$zwjn0ppe(E=gF-F;y z*4=knz=m948ZZ!W#mFy1Rhwh=nkp~Xqse_N6w_2bH#r0~d+Qw#TXxt|uFvjwH-ngY zQL~8^f5U1;9g^JCF%UgH0aWVVv3xwcpKa9=74&hIL7?S__zrod<9N?r`i}%&5SN}h zAG6q{uFxqR^WYki^`(-cz&n5IrDt5WEMq?AQB}B%|Gk2VL0cgIHXaYRqOi?UQW?Ib zcl=dgW>I^sCcX&o;?31MSR#mhxO+K;&r+``FFb zLF2@XPZE1%se;P3bE!hZ=A1eRdyrK>ZspAKYk36Ncx;?{9k7`IXIG=H{uQW7`c%=-MsLK>T{oU!q0Z_D8PbXk8H zNe0Qp*K2PTpIE1Pm`u!RC9wo01w1@jQP<`KM<`+Xn)lR%I%FO z6j>RT)et+y$Q!(qahbVU+#%nvu?cQr4OWK8OX2gVW%7JIL*5a_3Tt6*SKn#w8H1gJ zlxNNdKCGmaQ8Nh_KL65SGRrpoKLZZA9DU9#aCRAE7h>81-K_biuE`58ZvS5G%=OSc zrocUq=346s)*oxx4(<%CpO@?k$4RRg?FyA;^ws}J3AF4STTmVC(TrN&$tw{w4%;mK z-3}O%!bxF9p$n!e_i!&P8@`?}u)@uHrJUQ9hR^!z^HQ^t$CTq6uXO(0ZWS4_h0PDxKNN;@Gz5;){j+)vrBAJ(LuFM`N;R! zz2r55_f9Nc$!&OhH8c?o9_L=oaw=<78bY2JCipRsP9k3cQl96MIUWL1KOpzx$8#}|7_?N_{b8-_zRU~xhyCUDrV-Kaj#IYPEML{TEMP!NB@?y z5eQb{nl&b!$g|%&l()o8AS%ckmWyagd&zW6n>Fi^s zNjH#D#9rbJ6J`7cZPupmga_Tm03L;Ur}d}NfRd?#jR+5AMVdFKQ#}xDj50RoSa_cma`xqqv7}k|GVbTX)5-k%*C*Y zX4%LuGX1g270X>~V$}JB5-T?2-;l@NkhK_b=iycIYsA=Q>X?=JVTyR1=8ph%SuzG) z1<4Zq^NomXr*{ZcFd?64$?}ad6iFxRF}wcc$gr@s4vnS~PQ9^Bid>ZmsEbEE`7YBq zONHeZexo0Q$Us?S1L~&9TZd$nOC&yJzFD^P$a-@>BomnXbLh9%ugO%6ObI;>H1LBH zF@aRuhvX_=YY6zDM8TUy;AaT7jnE+qW`Y5C2_#P{6@)Q@;uOX&FbDnWQ%WiA%d9Pe z^K`JgENOXp7dS-zlk1Elw`eV>q#^B5Nuy&e2xVg8jGQA2zS20v2*^8z-fL>&AQVFK z{?`=_ase9kOQq)0@4?7+T;w0Q6bqSS_j&iKW(jB|1_Qa4{#-j=8RzlhG1_^nkHR(r zHAwuIFQ(|5Gt0BEmRJnFw2N$g_enKY+|x)e<MB^QZDEGCvgU#;nik zn~l>dj~sB#Z7?%RksG;3CsZ_33` zAT%S1{SYSTgt$rP_&897|D%EbUAu!5{#85}_J@3TX~Ah^IdjlbGM?0+=~aI8o1+&> zN7hag^^k4xWN2qV6-#-|&0Qd&=2nBL+GS+HPqzAcui>d|bUcec(FH%(gH8e4Ejcy{ zM;U|0Wc5wMl3Sl6cl$nHzZ$FB4c=g3wei)Se45em8cZ%M-AJI7q$S&=W`7IaWsslx ztx%0MbLXyLjzWCrmhUj_f{$<6>KHo* zv8u{cq8KD8{s2}_5vx_{cYiKCLHu*T&M@_I2B1>@AVLu9KH^c@Wj?5ucq4Fq^=tL= zE?od)(vv%SUkWa| zDx7*A#Cx6oa9v8eVxTEA69R8#hV0VI8 zI|0XI%}-m)Ip0kx;}^I*2u!G9fz-~x71cpgGw&lCh@dq{A;9G4%V&X!K4q@9-s@!9 z_@FKQC<=LCvGIG%YCA7{H&Jux^tcbMaQ$H5Cj`gs_=#tcopUN$PsOOk14_V9ga(Ds zsI&jN2y>T4)SB+oR6^>Km`=JfKtdUnAI7)}i?Zc+QH(77Y?-(;kWoWuGybj!=`7Og zakpdlZ#suV-s$Y-iorXyGXRC&rp%=1Y0r$x?||pm0X@$-ci*Q>1S@Tlzz4TQj1Shy z3am0G2A{fIDpzlE#%?FtyJ^rPIO}sHN-3EQyaV27^VO;V(s{9|^hN@JT0I|t`7LFs z39w&G$$%lwqSTILYs;rM619%wqa(``sRYlTm#{|eS0htvjiFPQ5~4J{Ze#kZqmiEI zq-McyB#JVvjz+|adfn}D>M@>U_4fN)EdFQ~Uv z={~?T#1-dGrCTc3LYaR6683Q>&F$hATI5H_5r!fvgmnPj;h%vt0hUuGJW(1jb30Y! zCs&;&oWL!kzEX?sVYl4KE`@B0IF`s&ukb_bF>$o#9_h>7_St2z7OwQfv6Al)ZcF~Q z)T*&-ce}|k4Jwwx#3c!Tq0gDhdg+CALVF$q<`{k^2-ld2WVbFoy~TO9Dv$BX__Cz7 zmIWf<$7I4ioo|)LZ=$|PVsKNLP8{|2@;0JSN}ic-*ogmCf_p<*YBE5_m)hNtgax?KjwjnuO~ zG!*sBo-iX|D;R0-zS>)43$Xr4o6BIss0>)6ZY|7If)=g@%(o=;=W3gexKh*D_v0yv zzIzj6wM0Kc-sC)?xK-NIo)&VWybrVQo%$C21+H^tcRNY~t9lV5~v@#5T99earCN;?Xpi&rfHVJ#s#gLe~+J|>Z?Z`eD1vj)87`qbJQm?7E z`J{HlU^b_4*$11`JN{m&H9Km-cfnqe`*#<#&z?VmPXSP(d$ShD(9RiFY`5DjiiK>H z3rh2zyV5olpLas-jYgLu4M%m4TMx`s2M|U%ZavVBGC)nu8*vJ`!D!c|W^><7R3Np0 ziVtH?M*3yiu%grW>lrFLOJbE9rH$PAM3UaD13#J)2=xfrc2SzK(~MDHJgsEpo>0^s z`q=R9T@L(psWc3eu|njr#R*zD&|?|r6pUzc5+Ym(5L>8~5?1=A#ro^{;bKu@8|LeC zLF6#7o6p0_1vzyY+FiX-GoF-`crsS@OBazL)4~P*wEfh;=$)aZfoy^|sW$k>g%R<{ z#)nfg?Q9Mxk2ErB9Jv;$(PnhH6k+dnSZX!lc^jwhc&re=GpE!He*+@PNrkkXi znko-gLWPCJNPCzV7#nlb`C=})<5FcM3joO>6t6`Be@iK=TLJPCn=IN9KDlybN{aa$+B@xwL~O*!&i;C{?hip)gnzf72!*nc~1!@vuFil8<~$^ zD2voGc-0fV0o(WRD|;Ai%Zl=|=J2mHj6H-2NB@t9_#YeMf45=&UrdbuXYp0=dUh;5 z#pReEjB8Q*`3N(-kUZ8ktJ29oe=nLnQ=+1oM0qy7E73)qDuA2&If$ZpuGDP=Z@7&g z$K%IFfiDwCN#-yMIagDCb9`L3`FP-qVOm>ju!z#q?E#YEujyHlrROe_)7@N=kG*4E zfxh6Bh8&;EbuF-#HZZ?5`UjU~VcHLF_?)o-A3v0Htb4xrwF!Wq&P{`1YN@fXt|cL! zg@11;zc8#98M=&xNj-HNY8%7bsPGWp?AX$8(+%7Vr;u+*OI=<`TLvYn2=0vs{*YlE zZ^kP1>d7T70O`zMPgFPLl4hPwaLgK$ReU>a9P_{w(3@~Xl7V7%rCD|C17HPuA++Dd z81rIFb>F(1{NWUkZNQqL0TI~_2cMW$9<{|z5)14_C@ErG&wB-PY@)}x6~EP~K9b?D zKEgyq8b&tO!RdzZJx#H+maoY|!o9?{t`U*x)$5y%rSYhWi(x{dE)joC#!*0&bRKYg z*q}Ib{)ZQQlslf>)lr{GC76i|S3&azPonN7F>FAt`D7CaBNJ%A81htmL!Mvv+3lqA zeY}H^l{3ug>1We>Qz9vbmjeCSWu+}bzr1w@o1P5GXWdSiD6xjU62n)Qsr3-<+k7J1 zm4;3Inrj=;wi-g5ebI)p1}rxwP^4}05vEvLfIH9jLABnGmg?KaHBC_D><1H)(iyMz zh8B3vYKZ<{0QwGvU_oE%k&JT*qIz)yI|u2qSL>0dQ<#I0uHj2_3iCOFwifHxU{Uvi z%T^&M{~Qx8ht%uF9J`Uie1%W_!(QO_L11D#7npdGNru1OSy1{v?R!#!W;_W0&DEo^ zVn;(w5B{KtqiFOAhlyeXJxD+@Z&ST0*+{rI3ek@y=L<~6&)-NMuXnF{qC6o6y3vm% zi+S7p+CB?UhmG6IN!-7ih+}xARAjm-czFX@ue84vH^)rZ(vm!srlETY{ z7BF~KcZ$daNsCnE&nlFzksn5QI?-JdHgaW(FjO^tC(jwX3SN6i*Fozx5%AETomJR- z_c)|*_!zWoaH(@+$8wfG7Lkdpo;szgc{ObdfjEGZz^x)$QT8p5*jb2HsB2gEO$Dh14Hovncmw(O~ z2x_0*digB)E{E*BJD$^|zdjBQ#d*C!vz`$5TZWl`oBa4OsNHgQ)`f}FsXs|h1jnz; z#);u@y@~riD*vWPau?1IHl5U1d$H?yy)ISgo}@V36=cc%>G@#JGkwd@s3e4V{72g) znZ~yO*@=aHIDe7pu2`J&WA+oMOX2bHgH3F-k;uJ0H2q>W&K6VN@vE&yB5#N<{rcsN z<`V-ARCH`>%{C;CwzZ2|`+TNWB7UdoBGEF_&1?L=n!ypUM zC_H15#5Dws^)t(b|9h;Qu~mPjNZT(elnA%T@-oRgKjHtKf4!5;DU={fB}Kn**}<>_ zy&xX~Qjrr!8@n1CPX<57$VQRmxMOx)s>P?JEmtwp;tG)*pPr5RMAMB&9%q5uSuuc& z7^E5LEeh{2Zxg!q!LlHj2=^{KI=TmKPzGL>Ql0R!B`q`Dnf|tMGa^keMmBSa4cFj# zAXzQ0(PCxM1xSs6bNLC{ zyibjS?}+x(YCN%)(84{+v6_sttddMbtnvn^1AJLzE|Bbz$9dUTn|9_D0lJtIEjfMB zk#>;JO2A&{l`I(t>jDWh6Th3x5Q-Y0u}fVrlsy1`pGU81mtb&Nc3U~s4*tV(lH=1U zb{85nC~s#|2;Yr%3Eo?0IT`#F?Dm^yzOueLhj-}NDX;9Nt7<-$0~w?C(LJepHAT!s z)UrVAl&wM_L-@0SfG8PR+b`==^-1A5U%2Q;|B&HRq_2s4A5z^^H%d%fj{-(mCfzBL zab>p=R?HK|OmSeOttMi=^}o@xYWcJidN1gA`IZjKHuZ-$)5I5dDj=X*TV~qV+5+J+xLjr$*WqBVb=XklLpd#0FLOs-7o;d@Bxv6=U9 z#GUH|qg)wKAgd+y`vV$92WOpgs|v8;c`HFIT(;y6$eD6 zR6zn2%kqmlG;+Xr#R@bCY`nAx9l2zi8% zW;R?}a_83_;i%s{yK1PjxKU&wAdZ%h5Og2c9#>_DqiH3ykV5m4 z4JPob{{n5c+|ZIqbTlYFn=g`_2A|SCE=eA@!`wj}$>mF|7Y`Nm$Bn6T(YUHN z@Scogg+MwtTvx!Zzc_iax;o~S*4E)%83$urJpm?JxsJgYsxFpOingE zVl)LGn>S?nS=qdMD)ssvmMnxeHnNupsep*sXF1 z(`?b2ini56#B!ItlRB@Qk5fJc8?eY$;d@`*k6nGE_r(^2;EszUBn zsy1~VLng9G>1Kh(`~TI9L={2DqpT|&Pm6tmALkavhXIY=Q1&rrUJef0G3);-z3Nv+ z$G?%IGVI=Zz&F}N>^A~RTLmZT4z!kQ-}hz+eX4j|n`f!7a7_9&(6-8OA{v0(4n5|4 zewz_66Y2iw)>v&!?!RTxHo$_DZIxqzO5yr<=%;nfEHNX^&uy{aRq`xcdPa)(ALiQO zDoe92Rt6%UtH7kSe1uZtjj8-PY5W{RTZf3nB8ZPr2p66OKub1{_z?Bqxb{t`rat;` zIt{S21F9`}x;9Vz^n-uTA{r-;qQd0A%6u4CCxDer=%-1CP^-1;`G5!XTTUdlDF-yH zZj0vFliYe72n`ACr#tlm7Fj-%dSo%kw9D`Mo#skoT6SkbVABoAz-GMU0KG6?5Xwc2 ze4)aQdG@1>icBHsxN+?H%PU(L``#`+j;&1LmrThlw2~OhLKG6@tBvDMjl3;BD8X)6 zd&$}1&;`(0e{vFB&`r%EDm#QOB>1+a>H}nZV*oz`cyT7>9{nAcoFw^%8!A9UO)iuy z?nYse;vC(%9==Oe_NG_Te9Y^DlKerlj5kg>c>1XlZZE+-5|SR&6zC~##B=2K);w8Z zqqyVKIIrhUN1v13*Y^PzZW3GfxWC{uLn!+7pDhzoaDEfBuRIb)c|POzW{%B`BpD}0 z>6M=k+PV6fVF?rtT{HV8dXmd_V&&z)Pd*p9D+j$S`0!`~5HiCK6mR15gm2$XU4*Q+ zy*?pc{+h732!0L4fJJzVoVYI<juD`DeyY|yM*boJ_H42Q zS!ySr*jeV$XLGApO!$@Gb3YRp++7f{-+ou`2ScCpOo*aITfY32UgC!)M2gy(mE|7# zvw@Yykrno0$PN0Zz#`W36sQ2=RsyM+PD`mu-Z`O$v(=TeY-|6~3qm3}2kY%3n?6WS z-l97=Ck$Pjo^mAJVc>1P1pEcOX6P})F7C`2l7_|+QNj)%p-5solCbG@*LHO$;bn8H zoKMEKE}9!dmsE!jD2f}h!FC%S!Ef$4S$fg|_jo)tUY;kFw=1{vx2+&i`$qHn(sL6r zYRSO(_R5)=M_9J1MA`!YFEgNkWJ91T;Lt$VUM`@?xztOj!}+D3|Bu&W$bzvpHg!hD zoz@`nY|ufhH7F=_BNrOEvA&!&1#$mXFpX~TqF&cQAt6GV*pzjosjpFNVK~Oa(jf)w zrN)hE(C%P2aSEwP&r@oFFc7kFL%fdG4H+GJQejhiQ5X;~xyNH|P34maS7ht&qPz8A zU_Rx={YKHi?!%&(xO@?Sf;!T*&_$BE_b#A*)yvzE{{+$|s8}ZX@NFu#htsW^=(?K; zpaR;40uBrnPVw>9iXHA>rVWh9FkkKXlu(G7ed$EEl;}?goDKk^xx>x5s-~6M9xiLs zribSF1~pyWDMI5@@DM-iAumT_g-HbIJLBae_5_%dkNy&(f$JE-XO#@PF;g$TL>390 zpF~kua$hyZ@OUvExtjkc8?BsYoD%S{TYk8w(JrGgT7#JeyCeZ+$5x%-poGa zrAvVgSHUIEU4wt((Y+&sh4vkv1>r@s>2lHpGRxlYM81g@s$=2zly+`P%GxdaO+n zQUow~qUQ)qBnmi6K1)l*^%;)4HKldj6(7&2JxM|TDxWR4BIq?~DyfIqrwXX{dxuBJ z+9R1Oo&LD6v+yLmDetoBRQ*=n)a7m*GAKi`ANYPdea{QpbgG6H{98=pw~+k?EysFD z3{Q}=NBnBIb%e?4XVcc8*ue9ZwZ|D2>ZXlZNt+&#$Jsi#jJ3bay5^Y(u&#mjmaV72 zrb9R=)W29&e`wXw(xL(`boMyWAFHng_`C6}0ozYPyrYhpf#<3Zz@5wL!sfndK>qDp z*$0ES(p-z9ry^X37f;ngCDFn*@1ib4Cyf=0xk|YH>~G(NN>Z8q`Q8SEjD1u6m?*5^ z7C-w#d--G{Usu{>mfUNE5Voiv@(4PS*VmpsSdYH9Y4ZGh7H*`P$9SZD9ycHIGOKpMcu0|*4h9lZ}RW}=3BLxNw;gI+(-=%2ys9d3o- zdqW}Ebqyts0w>6*!M@A{!2vtVXudmeV_*V}JQZrrHrC8SJloe3vlpy}mVLCS^U^esfxPjj)5DK__ zWKViH*ifqMTu4nYH^`Glt&lyQ4c>dkNfNKiV{ zTRVE}sae`%-MozoBU-!wK6F_VrRbpQUoE@CSr*lvv=o`}&kH+* z*I!~SW43zO0*D30K8LlrxhY7T_#Y~rzkr1O4)t&?eouK2$Z!?(R<`K%qt)TFk>>HR ztcTPt>w?DN-WSCc-pOpfu%>T*i_o_(Jg6Z(R#X^|KJ6bMo?Cu|jUK9bA7^{fWQ|bGH}B1xt0PRPw}Q71@Cn3Q+BNA zTRLMx3k#z^w3y@e;V`KA+5Y*nFtbi}{v0OTaYPN3-y-2$A-<&d0AuarAI5DTGuw2e zaSxN|I;4TIpeV2~@2Z_Iek)h=B>xSYUx;DVcwtXi(ejqvw2AZ-iZz1-BsaFFJ;vvc z{fSctJ)D8R&fDp>UV zy+^<=TflU8agg*w*bRzrF^a5xcXY;0WFI02L&V#kM^6FgVF3&438bxJalFWu=tBfh z6yMOPzW%9?sR*V|VFHqPI`O1|>akPG12*_j;3%+wcgQVbM+p>9uWM5)O^lbRjK>pV zTF5?lxrc6KEO{fcy9wJNf%0@hj31SJ5yyAbr$=v@I-j)sHG!>49{SOR3{qc*I!Acj zz+PnIIcJ?gR@F|g{oqo2POCD#p)Y3F3ZjD~(K{IN#2C;!Sny>1a({AX-I}ehSMBPp zbVRjMWIqa^KNwTpKxiE2#5n@>Pznna*Ppc4TSS5%BLSjDlEWc`<4K(<&+$3bk7`d2 zh0kVjfebq?XRR0o^{+aIr%>*eq1 z9fY`9vYZ4g-?ld9b9kR{}}y#m=E@bzw)x|1VE)#R>K>w zse+}u0Q|pvAXM#FvQEFmeD)^b@!26pxyFm`ZLciXn>~R|6_-56Gl>3jdkw1&xgkLx z?#n=Z6?v=QvcSLfI4ZdMH*MEuC%Nz?`}WQqCphQ4@D88)klOas&%s8~%=@MK7HK_K zINN0I&Ryd7j2i{vsHj^C4Us~3@m=26>%O<$yycNFx!53ZeW^B!KSAAG(s^C8ScZy?&X^5Q!3)6?3+rMqE>qT1S@J9 zQir_Xk_fcY-6~14XU!YDD6|_D+Pk^$VoEPL9e_eL(H6eOy^Iu0~&I4F<$flxl^s%Dsb7ZIUZfFBxyufZgf3!h;!_0_kFx)Cdhdx+~YUfEDY!m6k`$ zU`l9Wwa>Cx)JkXbQO+gDotmh%j%#5g@Ev4-azkOAHIVV@OgN}!2Ma8B z_IK(PK-=Sn;?_aLhZu#WfwDi!_YcIfnT4@vD;B|Q!Jp+}bL zfJ*A+P+%ggH~0gLdqfP;EUu@ZWUG(u*}kaMZPVMnAK=9MAYf*lH;-R*C$d!KJ-@k) z+gX07=0$#!#eC;RmcOD~#d--M9B_#h`bYaKMzvN3YWxG_hvvl#}h8{x~hmN z_AM>A0IrhbjtMUFDnyS+Nh5nXc z1g$?bP&0Ug*2!vlv^B$y-pvubIQ%`uvW&Ms^sQOJzv-NV#4K2c?8TDBlGU?l zi_CX1f#+jB=U!Kofv53FXuG8Dn;^sri~ZxE8ji->V;vOg7O6$*P2@uM&)8F1rIMi> zSGyiR@A8#4PB)$e3)6~5rdk23tLZmbCs}(ZS&_$LSD6!!Y=EJuzH8v{X-Ne_{{m2K$Nm`QxY9F~o%(Z8fbi zr&(R>!rJq&Wvp^>VQ=K;s4t&~K_4HGmv@ldV;vD;m)o+9sUPNOd`R+QltZ4!@l~Pk zL)}*I9&1*bSH~h0S1jxQWvIKH^|gX=t#^IK<6P=6Rh|A+IX=s41x zZ;JLQ?bB*oM16S}gB}t87=913R!f!d)$tMitn{ zH_(nXrbT2!=e(TfaGs;QpnC(zA7!2h?Fs4+>W_F#A%D0z2=xcXRp+Y+c_O^0{-FM# z{xC*$1@|G&TW3&zaD17Y&LDq~Kgb`t@4L(k9A7xT@Hq%$_#A}O3w%z-`L##&MeCG{=byhR&7uqkhUueJ3exdzB`-S!k?H4?|wBVmTO1qAB9ql^Wb+qef*U_${T}QjF zuh?}s&*87~!7tuR1Um=!m&>!}Mc6`Skc8cwpBu2NL?G@Qo5sa$m#1Gpk2_ob8+mdc z`L@sF)(!G$+{1a?#gYzXhWQ@N)otDRixICBVJ2{UFanU#}^IS=* z?R!3|FKqh@QCwKxOL^Q$d3|9%oUMg6OsuAaB2I#Ae`{P=AD-7nnTO}UVSV5y z#Es8?T|Rfd)yfhvM`}bo%0Noohv$DWocNF*C>PWN^P>mcSh{X*53DCj7J3%C7@neV z%>Qf0-8u$`EWX%+QJRB22M^8qJ(CRXIrac@E??*9P*0RR7Z0gRM8XcSQthR<#uCT3$E zCMIqY^Ux4cLD5Amw3zJPO@{0|xI5#LSX?ax)8qj$5kXkeSXxD`Z6b+aV^FNL5+9@y zEd(v1F4`!fjo|B?von*-u8Iqn%boL`|2+2G+Yb?_0f5D&>0`J-6juzFqZ`W5Ol?TW zDBP_D_!(WEh@gR1Qy5UXVP`7f6n@=1HvO?1kwPT^Izh@Ylxd|zCA8zoJoI%OH`b`f zor0uvDUyyQs{mbsA4Q?__{2qgb$A3yo-5nY&j-B&Fa-lY;D=sTdk(+vB-)C}W zFKRM1t=Lpfu8Buv|HCiyK2%bEX*JEzgXH7fhden@=`?Yq>NE}=I7&w3zTTE7bj z4!TkoDQ5f1SbDj9)&qUvon>%GIlMu97hi;%Zx;`L$3{^v{lbD?(mT@64@dmbN>BE9 Xu~GEmQVzm&4V9CcZ43Du00960DoPcF diff --git a/firmware/db/valveboard_firmware.db_info b/firmware/db/valveboard_firmware.db_info index d705ce5..5cb3e4f 100644 --- a/firmware/db/valveboard_firmware.db_info +++ b/firmware/db/valveboard_firmware.db_info @@ -1,3 +1,3 @@ Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition Version_Index = 520278016 -Creation_Time = Sun Dec 26 13:10:10 2021 +Creation_Time = Thu May 26 22:11:13 2022 diff --git a/firmware/db/valveboard_firmware.eco.cdb b/firmware/db/valveboard_firmware.eco.cdb deleted file mode 100644 index ba49857cdac92b03d1f3d1dfd987298c393a9adb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 736 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=ArI) ze}W#K^#4?9Ci&BIN8g_%DK@i9pQyW@TJa|4e41qG-1*nk7Onc+o-Q1Cd1rEe#YNFu zA=@IOGs5GFRS#S<*EJ71!ItZ$HhZ(=%r%dUj4BT+P8OAstJ_w0`11EE?;~3>&67SA zbw4?|TX&NB`fVLPX*LRzCHYUJ9Ah?m`Bwg=sb|%1_bUH2*W7kZ-!Ap`jCXIvp34*5 zgHsf)1_m!t?q8PUF6Vo*&*syemj~9It*TjV_Stih(Q8JfYpKdECaa>GI@C&)#WeGd zC>@>}p|V4$`%hTX#Cu*kRb8hme%~tmSvzyzx%=1iGY`Lh`l!ZAepz>{-p8|5KX3or zRp0ac signal_high_voltage[0]~reg0.CLK -sys_clk => signal_high_voltage[1]~reg0.CLK -sys_clk => signal_high_voltage[2]~reg0.CLK -sys_clk => signal_high_voltage[3]~reg0.CLK -sys_clk => signal_high_voltage[4]~reg0.CLK -sys_clk => signal_high_voltage[5]~reg0.CLK -sys_clk => signal_high_voltage[6]~reg0.CLK -sys_clk => signal_high_voltage[7]~reg0.CLK -sys_clk => signal_high_voltage[8]~reg0.CLK -sys_clk => signal_high_voltage[9]~reg0.CLK -sys_clk => signal_high_voltage[10]~reg0.CLK -sys_clk => signal_high_voltage[11]~reg0.CLK -sys_clk => signal_high_voltage[12]~reg0.CLK -sys_clk => signal_high_voltage[13]~reg0.CLK -sys_clk => signal_high_voltage[14]~reg0.CLK -sys_clk => signal_high_voltage[15]~reg0.CLK -sys_clk => signal_high_voltage[16]~reg0.CLK -sys_clk => signal_high_voltage[17]~reg0.CLK -sys_clk => signal_high_voltage[18]~reg0.CLK -sys_clk => signal_high_voltage[19]~reg0.CLK -sys_clk => signal_high_voltage[20]~reg0.CLK -sys_clk => signal_high_voltage[21]~reg0.CLK -sys_clk => signal_high_voltage[22]~reg0.CLK -sys_clk => signal_high_voltage[23]~reg0.CLK -sys_clk => signal_high_voltage[24]~reg0.CLK -sys_clk => signal_high_voltage[25]~reg0.CLK -sys_clk => signal_high_voltage[26]~reg0.CLK -sys_clk => signal_high_voltage[27]~reg0.CLK -sys_clk => signal_high_voltage[28]~reg0.CLK -sys_clk => signal_high_voltage[29]~reg0.CLK -sys_clk => signal_high_voltage[30]~reg0.CLK -sys_clk => signal_high_voltage[31]~reg0.CLK -sys_clk => signal_high_voltage[32]~reg0.CLK -sys_clk => signal_high_voltage[33]~reg0.CLK -sys_clk => signal_high_voltage[34]~reg0.CLK -sys_clk => signal_high_voltage[35]~reg0.CLK -sys_clk => signal_high_voltage[36]~reg0.CLK -sys_clk => signal_high_voltage[37]~reg0.CLK -sys_clk => signal_high_voltage[38]~reg0.CLK -sys_clk => signal_high_voltage[39]~reg0.CLK -sys_clk => signal_high_voltage[40]~reg0.CLK -sys_clk => signal_high_voltage[41]~reg0.CLK -sys_clk => signal_high_voltage[42]~reg0.CLK -sys_clk => signal_high_voltage[43]~reg0.CLK -sys_clk => signal_high_voltage[44]~reg0.CLK -sys_clk => signal_high_voltage[45]~reg0.CLK -sys_clk => signal_high_voltage[46]~reg0.CLK -sys_clk => signal_high_voltage[47]~reg0.CLK -sys_clk => signal_low_voltage[0]~reg0.CLK -sys_clk => signal_low_voltage[1]~reg0.CLK -sys_clk => signal_low_voltage[2]~reg0.CLK -sys_clk => signal_low_voltage[3]~reg0.CLK -sys_clk => signal_low_voltage[4]~reg0.CLK -sys_clk => signal_low_voltage[5]~reg0.CLK -sys_clk => signal_low_voltage[6]~reg0.CLK -sys_clk => signal_low_voltage[7]~reg0.CLK -sys_clk => signal_low_voltage[8]~reg0.CLK -sys_clk => signal_low_voltage[9]~reg0.CLK -sys_clk => signal_low_voltage[10]~reg0.CLK -sys_clk => signal_low_voltage[11]~reg0.CLK -sys_clk => signal_low_voltage[12]~reg0.CLK -sys_clk => signal_low_voltage[13]~reg0.CLK -sys_clk => signal_low_voltage[14]~reg0.CLK -sys_clk => signal_low_voltage[15]~reg0.CLK -sys_clk => signal_low_voltage[16]~reg0.CLK -sys_clk => signal_low_voltage[17]~reg0.CLK -sys_clk => signal_low_voltage[18]~reg0.CLK -sys_clk => signal_low_voltage[19]~reg0.CLK -sys_clk => signal_low_voltage[20]~reg0.CLK -sys_clk => signal_low_voltage[21]~reg0.CLK -sys_clk => signal_low_voltage[22]~reg0.CLK -sys_clk => signal_low_voltage[23]~reg0.CLK -sys_clk => signal_low_voltage[24]~reg0.CLK -sys_clk => signal_low_voltage[25]~reg0.CLK -sys_clk => signal_low_voltage[26]~reg0.CLK -sys_clk => signal_low_voltage[27]~reg0.CLK -sys_clk => signal_low_voltage[28]~reg0.CLK -sys_clk => signal_low_voltage[29]~reg0.CLK -sys_clk => signal_low_voltage[30]~reg0.CLK -sys_clk => signal_low_voltage[31]~reg0.CLK -sys_clk => signal_low_voltage[32]~reg0.CLK -sys_clk => signal_low_voltage[33]~reg0.CLK -sys_clk => signal_low_voltage[34]~reg0.CLK -sys_clk => signal_low_voltage[35]~reg0.CLK -sys_clk => signal_low_voltage[36]~reg0.CLK -sys_clk => signal_low_voltage[37]~reg0.CLK -sys_clk => signal_low_voltage[38]~reg0.CLK -sys_clk => signal_low_voltage[39]~reg0.CLK -sys_clk => signal_low_voltage[40]~reg0.CLK -sys_clk => signal_low_voltage[41]~reg0.CLK -sys_clk => signal_low_voltage[42]~reg0.CLK -sys_clk => signal_low_voltage[43]~reg0.CLK -sys_clk => signal_low_voltage[44]~reg0.CLK -sys_clk => signal_low_voltage[45]~reg0.CLK -sys_clk => signal_low_voltage[46]~reg0.CLK -sys_clk => signal_low_voltage[47]~reg0.CLK -sys_clk => cache2_line_sdata[0].CLK -sys_clk => cache2_line_sdata[1].CLK -sys_clk => cache2_line_sdata[2].CLK -sys_clk => cache2_line_sdata[3].CLK -sys_clk => cache2_line_sdata[4].CLK -sys_clk => cache2_line_sdata[5].CLK -sys_clk => cache2_line_sdata[6].CLK -sys_clk => cache2_line_sdata[7].CLK -sys_clk => cache2_line_sdata[8].CLK -sys_clk => cache2_line_sdata[9].CLK -sys_clk => cache2_line_sdata[10].CLK -sys_clk => cache2_line_sdata[11].CLK -sys_clk => cache2_line_sdata[12].CLK -sys_clk => cache2_line_sdata[13].CLK -sys_clk => cache2_line_sdata[14].CLK -sys_clk => cache2_line_sdata[15].CLK -sys_clk => cache2_line_sdata[16].CLK -sys_clk => cache2_line_sdata[17].CLK -sys_clk => cache2_line_sdata[18].CLK -sys_clk => cache2_line_sdata[19].CLK -sys_clk => cache2_line_sdata[20].CLK -sys_clk => cache2_line_sdata[21].CLK -sys_clk => cache2_line_sdata[22].CLK -sys_clk => cache2_line_sdata[23].CLK -sys_clk => cache2_line_sdata[24].CLK -sys_clk => cache2_line_sdata[25].CLK -sys_clk => cache2_line_sdata[26].CLK -sys_clk => cache2_line_sdata[27].CLK -sys_clk => cache2_line_sdata[28].CLK -sys_clk => cache2_line_sdata[29].CLK -sys_clk => cache2_line_sdata[30].CLK -sys_clk => cache2_line_sdata[31].CLK -sys_clk => cache2_line_sdata[32].CLK -sys_clk => cache2_line_sdata[33].CLK -sys_clk => cache2_line_sdata[34].CLK -sys_clk => cache2_line_sdata[35].CLK -sys_clk => cache2_line_sdata[36].CLK -sys_clk => cache2_line_sdata[37].CLK -sys_clk => cache2_line_sdata[38].CLK -sys_clk => cache2_line_sdata[39].CLK -sys_clk => cache2_line_sdata[40].CLK -sys_clk => cache2_line_sdata[41].CLK -sys_clk => cache2_line_sdata[42].CLK -sys_clk => cache2_line_sdata[43].CLK -sys_clk => cache2_line_sdata[44].CLK -sys_clk => cache2_line_sdata[45].CLK -sys_clk => cache2_line_sdata[46].CLK -sys_clk => cache2_line_sdata[47].CLK -sys_clk => enable_count_high_voltage_time.CLK -sys_clk => is_high_voltage_time.CLK -sys_clk => cnt_for_high_voltage_time[0].CLK -sys_clk => cnt_for_high_voltage_time[1].CLK -sys_clk => cnt_for_high_voltage_time[2].CLK -sys_clk => cnt_for_high_voltage_time[3].CLK -sys_clk => cnt_for_high_voltage_time[4].CLK -sys_clk => cnt_for_high_voltage_time[5].CLK -sys_clk => cnt_for_high_voltage_time[6].CLK -sys_clk => cnt_for_high_voltage_time[7].CLK -sys_clk => cnt_for_high_voltage_time[8].CLK -sys_clk => cnt_for_high_voltage_time[9].CLK -sys_clk => cnt_for_high_voltage_time[10].CLK -sys_clk => cnt_for_high_voltage_time[11].CLK -sys_clk => cnt_for_high_voltage_time[12].CLK -sys_clk => cnt_for_high_voltage_time[13].CLK -sys_clk => cnt_for_high_voltage_time[14].CLK -sys_clk => cnt_for_high_voltage_time[15].CLK -sys_clk => cnt_for_high_voltage_time[16].CLK -sys_clk => cnt_for_high_voltage_time[17].CLK -sys_clk => cnt_for_high_voltage_time[18].CLK -sys_clk => cnt_for_high_voltage_time[19].CLK -sys_clk => cnt_for_high_voltage_time[20].CLK -sys_clk => cnt_for_high_voltage_time[21].CLK -sys_clk => cnt_for_high_voltage_time[22].CLK -sys_clk => cnt_for_high_voltage_time[23].CLK -sys_clk => cnt_for_high_voltage_time[24].CLK -sys_clk => cnt_for_high_voltage_time[25].CLK -sys_clk => cnt_for_high_voltage_time[26].CLK -sys_clk => cnt_for_high_voltage_time[27].CLK -sys_clk => cnt_for_high_voltage_time[28].CLK -sys_clk => cnt_for_high_voltage_time[29].CLK -sys_clk => cnt_for_high_voltage_time[30].CLK -sys_clk => cnt_for_high_voltage_time[31].CLK -sys_clk => cache_enable_count_high_voltage_time[0].CLK -sys_clk => cache_enable_count_high_voltage_time[1].CLK -sys_clk => fault_flag[1][0].CLK -sys_clk => fault_counter[0].CLK -sys_clk => fault_counter[1].CLK -sys_clk => fault_counter[2].CLK -sys_clk => fault_counter[3].CLK -sys_clk => fault_counter[4].CLK -sys_clk => fault_counter[5].CLK -sys_clk => fault_counter[6].CLK -sys_clk => fault_counter[7].CLK -sys_clk => fault_counter[8].CLK -sys_clk => fault_counter[9].CLK -sys_clk => fault_counter[10].CLK -sys_clk => fault_counter[11].CLK -sys_clk => fault_counter[12].CLK -sys_clk => fault_counter[13].CLK -sys_clk => fault_counter[14].CLK -sys_clk => fault_counter[15].CLK -sys_clk => fault_counter[16].CLK -sys_clk => fault_counter[17].CLK -sys_clk => fault_counter[18].CLK -sys_clk => fault_counter[19].CLK -sys_clk => fault_counter[20].CLK -sys_clk => fault_counter[21].CLK -sys_clk => fault_counter[22].CLK -sys_clk => fault_counter[23].CLK -sys_clk => fault_counter[24].CLK -sys_clk => fault_counter[25].CLK -sys_clk => fault_counter[26].CLK -sys_clk => fault_counter[27].CLK -sys_clk => fault_counter[28].CLK -sys_clk => fault_counter[29].CLK -sys_clk => fault_counter[30].CLK -sys_clk => fault_counter[31].CLK -sys_clk => fault_flag[0][0].CLK -sys_clk => cache_line_sdata[0].CLK -sys_clk => cache_line_sdata[1].CLK -sys_clk => cache_line_sdata[2].CLK -sys_clk => cache_line_sdata[3].CLK -sys_clk => cache_line_sdata[4].CLK -sys_clk => cache_line_sdata[5].CLK -sys_clk => cache_line_sdata[6].CLK -sys_clk => cache_line_sdata[7].CLK -sys_clk => cache_line_sdata[8].CLK -sys_clk => cache_line_sdata[9].CLK -sys_clk => cache_line_sdata[10].CLK -sys_clk => cache_line_sdata[11].CLK -sys_clk => cache_line_sdata[12].CLK -sys_clk => cache_line_sdata[13].CLK -sys_clk => cache_line_sdata[14].CLK -sys_clk => cache_line_sdata[15].CLK -sys_clk => cache_line_sdata[16].CLK -sys_clk => cache_line_sdata[17].CLK -sys_clk => cache_line_sdata[18].CLK -sys_clk => cache_line_sdata[19].CLK -sys_clk => cache_line_sdata[20].CLK -sys_clk => cache_line_sdata[21].CLK -sys_clk => cache_line_sdata[22].CLK -sys_clk => cache_line_sdata[23].CLK -sys_clk => cache_line_sdata[24].CLK -sys_clk => cache_line_sdata[25].CLK -sys_clk => cache_line_sdata[26].CLK -sys_clk => cache_line_sdata[27].CLK -sys_clk => cache_line_sdata[28].CLK -sys_clk => cache_line_sdata[29].CLK -sys_clk => cache_line_sdata[30].CLK -sys_clk => cache_line_sdata[31].CLK -sys_clk => cache_line_sdata[32].CLK -sys_clk => cache_line_sdata[33].CLK -sys_clk => cache_line_sdata[34].CLK -sys_clk => cache_line_sdata[35].CLK -sys_clk => cache_line_sdata[36].CLK -sys_clk => cache_line_sdata[37].CLK -sys_clk => cache_line_sdata[38].CLK -sys_clk => cache_line_sdata[39].CLK -sys_clk => cache_line_sdata[40].CLK -sys_clk => cache_line_sdata[41].CLK -sys_clk => cache_line_sdata[42].CLK -sys_clk => cache_line_sdata[43].CLK -sys_clk => cache_line_sdata[44].CLK -sys_clk => cache_line_sdata[45].CLK -sys_clk => cache_line_sdata[46].CLK -sys_clk => cache_line_sdata[47].CLK -sys_clk => i[0].CLK -sys_clk => i[1].CLK -sys_clk => i[2].CLK -sys_clk => i[3].CLK -sys_clk => i[4].CLK -sys_clk => i[5].CLK -sys_clk => i[6].CLK -sys_clk => i[7].CLK -sys_clk => i[8].CLK -sys_clk => i[9].CLK -sys_clk => i[10].CLK -sys_clk => i[11].CLK -sys_clk => i[12].CLK -sys_clk => i[13].CLK -sys_clk => i[14].CLK -sys_clk => i[15].CLK -sys_clk => i[16].CLK -sys_clk => i[17].CLK -sys_clk => i[18].CLK -sys_clk => i[19].CLK -sys_clk => i[20].CLK -sys_clk => i[21].CLK -sys_clk => i[22].CLK -sys_clk => i[23].CLK -sys_clk => i[24].CLK -sys_clk => i[25].CLK -sys_clk => i[26].CLK -sys_clk => i[27].CLK -sys_clk => i[28].CLK -sys_clk => i[29].CLK -sys_clk => i[30].CLK -sys_clk => i[31].CLK -sys_clk => negedge_line_sen.CLK -sys_clk => filter_line_sen.CLK -sys_clk => cache_line_sen[0].CLK -sys_clk => cache_line_sen[1].CLK -sys_clk => cache_line_sen[2].CLK -sys_clk => cache_line_sen[3].CLK -sys_clk => cache_line_sen[4].CLK -sys_clk => fiter_line_sdata.CLK -sys_clk => tmp_cache_line_sdata[0].CLK -sys_clk => tmp_cache_line_sdata[1].CLK -sys_clk => tmp_cache_line_sdata[2].CLK -sys_clk => tmp_cache_line_sdata[3].CLK -sys_clk => tmp_cache_line_sdata[4].CLK -sys_clk => posedge_line_sclk.CLK -sys_clk => cache_line_sclk[0].CLK -sys_clk => cache_line_sclk[1].CLK -sys_clk => cache_line_sclk[2].CLK -sys_clk => cache_line_sclk[3].CLK -sys_clk => cache_line_sclk[4].CLK -rst_n => signal_high_voltage[0]~reg0.PRESET -rst_n => signal_high_voltage[1]~reg0.PRESET -rst_n => signal_high_voltage[2]~reg0.PRESET -rst_n => signal_high_voltage[3]~reg0.PRESET -rst_n => signal_high_voltage[4]~reg0.PRESET -rst_n => signal_high_voltage[5]~reg0.PRESET -rst_n => signal_high_voltage[6]~reg0.PRESET -rst_n => signal_high_voltage[7]~reg0.PRESET -rst_n => signal_high_voltage[8]~reg0.PRESET -rst_n => signal_high_voltage[9]~reg0.PRESET -rst_n => signal_high_voltage[10]~reg0.PRESET -rst_n => signal_high_voltage[11]~reg0.PRESET -rst_n => signal_high_voltage[12]~reg0.PRESET -rst_n => signal_high_voltage[13]~reg0.PRESET -rst_n => signal_high_voltage[14]~reg0.PRESET -rst_n => signal_high_voltage[15]~reg0.PRESET -rst_n => signal_high_voltage[16]~reg0.PRESET -rst_n => signal_high_voltage[17]~reg0.PRESET -rst_n => signal_high_voltage[18]~reg0.PRESET -rst_n => signal_high_voltage[19]~reg0.PRESET -rst_n => signal_high_voltage[20]~reg0.PRESET -rst_n => signal_high_voltage[21]~reg0.PRESET -rst_n => signal_high_voltage[22]~reg0.PRESET -rst_n => signal_high_voltage[23]~reg0.PRESET -rst_n => signal_high_voltage[24]~reg0.PRESET -rst_n => signal_high_voltage[25]~reg0.PRESET -rst_n => signal_high_voltage[26]~reg0.PRESET -rst_n => signal_high_voltage[27]~reg0.PRESET -rst_n => signal_high_voltage[28]~reg0.PRESET -rst_n => signal_high_voltage[29]~reg0.PRESET -rst_n => signal_high_voltage[30]~reg0.PRESET -rst_n => signal_high_voltage[31]~reg0.PRESET -rst_n => signal_high_voltage[32]~reg0.PRESET -rst_n => signal_high_voltage[33]~reg0.PRESET -rst_n => signal_high_voltage[34]~reg0.PRESET -rst_n => signal_high_voltage[35]~reg0.PRESET -rst_n => signal_high_voltage[36]~reg0.PRESET -rst_n => signal_high_voltage[37]~reg0.PRESET -rst_n => signal_high_voltage[38]~reg0.PRESET -rst_n => signal_high_voltage[39]~reg0.PRESET -rst_n => signal_high_voltage[40]~reg0.PRESET -rst_n => signal_high_voltage[41]~reg0.PRESET -rst_n => signal_high_voltage[42]~reg0.PRESET -rst_n => signal_high_voltage[43]~reg0.PRESET -rst_n => signal_high_voltage[44]~reg0.PRESET -rst_n => signal_high_voltage[45]~reg0.PRESET -rst_n => signal_high_voltage[46]~reg0.PRESET -rst_n => signal_high_voltage[47]~reg0.PRESET -rst_n => signal_low_voltage[0]~reg0.PRESET -rst_n => signal_low_voltage[1]~reg0.PRESET -rst_n => signal_low_voltage[2]~reg0.PRESET -rst_n => signal_low_voltage[3]~reg0.PRESET -rst_n => signal_low_voltage[4]~reg0.PRESET -rst_n => signal_low_voltage[5]~reg0.PRESET -rst_n => signal_low_voltage[6]~reg0.PRESET -rst_n => signal_low_voltage[7]~reg0.PRESET -rst_n => signal_low_voltage[8]~reg0.PRESET -rst_n => signal_low_voltage[9]~reg0.PRESET -rst_n => signal_low_voltage[10]~reg0.PRESET -rst_n => signal_low_voltage[11]~reg0.PRESET -rst_n => signal_low_voltage[12]~reg0.PRESET -rst_n => signal_low_voltage[13]~reg0.PRESET -rst_n => signal_low_voltage[14]~reg0.PRESET -rst_n => signal_low_voltage[15]~reg0.PRESET -rst_n => signal_low_voltage[16]~reg0.PRESET -rst_n => signal_low_voltage[17]~reg0.PRESET -rst_n => signal_low_voltage[18]~reg0.PRESET -rst_n => signal_low_voltage[19]~reg0.PRESET -rst_n => signal_low_voltage[20]~reg0.PRESET -rst_n => signal_low_voltage[21]~reg0.PRESET -rst_n => signal_low_voltage[22]~reg0.PRESET -rst_n => signal_low_voltage[23]~reg0.PRESET -rst_n => signal_low_voltage[24]~reg0.PRESET -rst_n => signal_low_voltage[25]~reg0.PRESET -rst_n => signal_low_voltage[26]~reg0.PRESET -rst_n => signal_low_voltage[27]~reg0.PRESET -rst_n => signal_low_voltage[28]~reg0.PRESET -rst_n => signal_low_voltage[29]~reg0.PRESET -rst_n => signal_low_voltage[30]~reg0.PRESET -rst_n => signal_low_voltage[31]~reg0.PRESET -rst_n => signal_low_voltage[32]~reg0.PRESET -rst_n => signal_low_voltage[33]~reg0.PRESET -rst_n => signal_low_voltage[34]~reg0.PRESET -rst_n => signal_low_voltage[35]~reg0.PRESET -rst_n => signal_low_voltage[36]~reg0.PRESET -rst_n => signal_low_voltage[37]~reg0.PRESET -rst_n => signal_low_voltage[38]~reg0.PRESET -rst_n => signal_low_voltage[39]~reg0.PRESET -rst_n => signal_low_voltage[40]~reg0.PRESET -rst_n => signal_low_voltage[41]~reg0.PRESET -rst_n => signal_low_voltage[42]~reg0.PRESET -rst_n => signal_low_voltage[43]~reg0.PRESET -rst_n => signal_low_voltage[44]~reg0.PRESET -rst_n => signal_low_voltage[45]~reg0.PRESET -rst_n => signal_low_voltage[46]~reg0.PRESET -rst_n => signal_low_voltage[47]~reg0.PRESET -rst_n => posedge_line_sclk.ACLR -rst_n => cache_line_sclk[0].ACLR -rst_n => cache_line_sclk[1].ACLR -rst_n => cache_line_sclk[2].ACLR -rst_n => cache_line_sclk[3].ACLR -rst_n => cache_line_sclk[4].ACLR -rst_n => tmp_cache_line_sdata[0].PRESET -rst_n => tmp_cache_line_sdata[1].PRESET -rst_n => tmp_cache_line_sdata[2].PRESET -rst_n => tmp_cache_line_sdata[3].PRESET -rst_n => tmp_cache_line_sdata[4].PRESET -rst_n => filter_line_sen.ACLR -rst_n => cache_line_sen[0].ACLR -rst_n => cache_line_sen[1].ACLR -rst_n => cache_line_sen[2].ACLR -rst_n => cache_line_sen[3].ACLR -rst_n => cache_line_sen[4].ACLR -rst_n => cache_line_sdata[0].PRESET -rst_n => cache_line_sdata[1].PRESET -rst_n => cache_line_sdata[2].PRESET -rst_n => cache_line_sdata[3].PRESET -rst_n => cache_line_sdata[4].PRESET -rst_n => cache_line_sdata[5].PRESET -rst_n => cache_line_sdata[6].PRESET -rst_n => cache_line_sdata[7].PRESET -rst_n => cache_line_sdata[8].PRESET -rst_n => cache_line_sdata[9].PRESET -rst_n => cache_line_sdata[10].PRESET -rst_n => cache_line_sdata[11].PRESET -rst_n => cache_line_sdata[12].PRESET -rst_n => cache_line_sdata[13].PRESET -rst_n => cache_line_sdata[14].PRESET -rst_n => cache_line_sdata[15].PRESET -rst_n => cache_line_sdata[16].PRESET -rst_n => cache_line_sdata[17].PRESET -rst_n => cache_line_sdata[18].PRESET -rst_n => cache_line_sdata[19].PRESET -rst_n => cache_line_sdata[20].PRESET -rst_n => cache_line_sdata[21].PRESET -rst_n => cache_line_sdata[22].PRESET -rst_n => cache_line_sdata[23].PRESET -rst_n => cache_line_sdata[24].PRESET -rst_n => cache_line_sdata[25].PRESET -rst_n => cache_line_sdata[26].PRESET -rst_n => cache_line_sdata[27].PRESET -rst_n => cache_line_sdata[28].PRESET -rst_n => cache_line_sdata[29].PRESET -rst_n => cache_line_sdata[30].PRESET -rst_n => cache_line_sdata[31].PRESET -rst_n => cache_line_sdata[32].PRESET -rst_n => cache_line_sdata[33].PRESET -rst_n => cache_line_sdata[34].PRESET -rst_n => cache_line_sdata[35].PRESET -rst_n => cache_line_sdata[36].PRESET -rst_n => cache_line_sdata[37].PRESET -rst_n => cache_line_sdata[38].PRESET -rst_n => cache_line_sdata[39].PRESET -rst_n => cache_line_sdata[40].PRESET -rst_n => cache_line_sdata[41].PRESET -rst_n => cache_line_sdata[42].PRESET -rst_n => cache_line_sdata[43].PRESET -rst_n => cache_line_sdata[44].PRESET -rst_n => cache_line_sdata[45].PRESET -rst_n => cache_line_sdata[46].PRESET -rst_n => cache_line_sdata[47].PRESET -rst_n => i[0].ACLR -rst_n => i[1].ACLR -rst_n => i[2].ACLR -rst_n => i[3].ACLR -rst_n => i[4].ACLR -rst_n => i[5].ACLR -rst_n => i[6].ACLR -rst_n => i[7].ACLR -rst_n => i[8].ACLR -rst_n => i[9].ACLR -rst_n => i[10].ACLR -rst_n => i[11].ACLR -rst_n => i[12].ACLR -rst_n => i[13].ACLR -rst_n => i[14].ACLR -rst_n => i[15].ACLR -rst_n => i[16].ACLR -rst_n => i[17].ACLR -rst_n => i[18].ACLR -rst_n => i[19].ACLR -rst_n => i[20].ACLR -rst_n => i[21].ACLR -rst_n => i[22].ACLR -rst_n => i[23].ACLR -rst_n => i[24].ACLR -rst_n => i[25].ACLR -rst_n => i[26].ACLR -rst_n => i[27].ACLR -rst_n => i[28].ACLR -rst_n => i[29].ACLR -rst_n => i[30].ACLR -rst_n => i[31].ACLR -rst_n => fault_flag[0][0].ACLR -rst_n => fault_flag[1][0].ACLR -rst_n => fault_counter[0].ACLR -rst_n => fault_counter[1].ACLR -rst_n => fault_counter[2].ACLR -rst_n => fault_counter[3].ACLR -rst_n => fault_counter[4].ACLR -rst_n => fault_counter[5].ACLR -rst_n => fault_counter[6].ACLR -rst_n => fault_counter[7].ACLR -rst_n => fault_counter[8].ACLR -rst_n => fault_counter[9].ACLR -rst_n => fault_counter[10].ACLR -rst_n => fault_counter[11].ACLR -rst_n => fault_counter[12].ACLR -rst_n => fault_counter[13].ACLR -rst_n => fault_counter[14].ACLR -rst_n => fault_counter[15].ACLR -rst_n => fault_counter[16].ACLR -rst_n => fault_counter[17].ACLR -rst_n => fault_counter[18].ACLR -rst_n => fault_counter[19].ACLR -rst_n => fault_counter[20].ACLR -rst_n => fault_counter[21].ACLR -rst_n => fault_counter[22].ACLR -rst_n => fault_counter[23].ACLR -rst_n => fault_counter[24].ACLR -rst_n => fault_counter[25].ACLR -rst_n => fault_counter[26].ACLR -rst_n => fault_counter[27].ACLR -rst_n => fault_counter[28].ACLR -rst_n => fault_counter[29].ACLR -rst_n => fault_counter[30].ACLR -rst_n => fault_counter[31].ACLR -rst_n => cache_enable_count_high_voltage_time[0].ACLR -rst_n => cache_enable_count_high_voltage_time[1].ACLR -rst_n => is_high_voltage_time.ACLR -rst_n => cnt_for_high_voltage_time[0].ACLR -rst_n => cnt_for_high_voltage_time[1].ACLR -rst_n => cnt_for_high_voltage_time[2].ACLR -rst_n => cnt_for_high_voltage_time[3].ACLR -rst_n => cnt_for_high_voltage_time[4].ACLR -rst_n => cnt_for_high_voltage_time[5].ACLR -rst_n => cnt_for_high_voltage_time[6].ACLR -rst_n => cnt_for_high_voltage_time[7].ACLR -rst_n => cnt_for_high_voltage_time[8].ACLR -rst_n => cnt_for_high_voltage_time[9].ACLR -rst_n => cnt_for_high_voltage_time[10].ACLR -rst_n => cnt_for_high_voltage_time[11].ACLR -rst_n => cnt_for_high_voltage_time[12].ACLR -rst_n => cnt_for_high_voltage_time[13].ACLR -rst_n => cnt_for_high_voltage_time[14].ACLR -rst_n => cnt_for_high_voltage_time[15].ACLR -rst_n => cnt_for_high_voltage_time[16].ACLR -rst_n => cnt_for_high_voltage_time[17].ACLR -rst_n => cnt_for_high_voltage_time[18].ACLR -rst_n => cnt_for_high_voltage_time[19].ACLR -rst_n => cnt_for_high_voltage_time[20].ACLR -rst_n => cnt_for_high_voltage_time[21].ACLR -rst_n => cnt_for_high_voltage_time[22].ACLR -rst_n => cnt_for_high_voltage_time[23].ACLR -rst_n => cnt_for_high_voltage_time[24].ACLR -rst_n => cnt_for_high_voltage_time[25].ACLR -rst_n => cnt_for_high_voltage_time[26].ACLR -rst_n => cnt_for_high_voltage_time[27].ACLR -rst_n => cnt_for_high_voltage_time[28].ACLR -rst_n => cnt_for_high_voltage_time[29].ACLR -rst_n => cnt_for_high_voltage_time[30].ACLR -rst_n => cnt_for_high_voltage_time[31].ACLR -rst_n => cache2_line_sdata[0].PRESET -rst_n => cache2_line_sdata[1].PRESET -rst_n => cache2_line_sdata[2].PRESET -rst_n => cache2_line_sdata[3].PRESET -rst_n => cache2_line_sdata[4].PRESET -rst_n => cache2_line_sdata[5].PRESET -rst_n => cache2_line_sdata[6].PRESET -rst_n => cache2_line_sdata[7].PRESET -rst_n => cache2_line_sdata[8].PRESET -rst_n => cache2_line_sdata[9].PRESET -rst_n => cache2_line_sdata[10].PRESET -rst_n => cache2_line_sdata[11].PRESET -rst_n => cache2_line_sdata[12].PRESET -rst_n => cache2_line_sdata[13].PRESET -rst_n => cache2_line_sdata[14].PRESET -rst_n => cache2_line_sdata[15].PRESET -rst_n => cache2_line_sdata[16].PRESET -rst_n => cache2_line_sdata[17].PRESET -rst_n => cache2_line_sdata[18].PRESET -rst_n => cache2_line_sdata[19].PRESET -rst_n => cache2_line_sdata[20].PRESET -rst_n => cache2_line_sdata[21].PRESET -rst_n => cache2_line_sdata[22].PRESET -rst_n => cache2_line_sdata[23].PRESET -rst_n => cache2_line_sdata[24].PRESET -rst_n => cache2_line_sdata[25].PRESET -rst_n => cache2_line_sdata[26].PRESET -rst_n => cache2_line_sdata[27].PRESET -rst_n => cache2_line_sdata[28].PRESET -rst_n => cache2_line_sdata[29].PRESET -rst_n => cache2_line_sdata[30].PRESET -rst_n => cache2_line_sdata[31].PRESET -rst_n => cache2_line_sdata[32].PRESET -rst_n => cache2_line_sdata[33].PRESET -rst_n => cache2_line_sdata[34].PRESET -rst_n => cache2_line_sdata[35].PRESET -rst_n => cache2_line_sdata[36].PRESET -rst_n => cache2_line_sdata[37].PRESET -rst_n => cache2_line_sdata[38].PRESET -rst_n => cache2_line_sdata[39].PRESET -rst_n => cache2_line_sdata[40].PRESET -rst_n => cache2_line_sdata[41].PRESET -rst_n => cache2_line_sdata[42].PRESET -rst_n => cache2_line_sdata[43].PRESET -rst_n => cache2_line_sdata[44].PRESET -rst_n => cache2_line_sdata[45].PRESET -rst_n => cache2_line_sdata[46].PRESET -rst_n => cache2_line_sdata[47].PRESET -rst_n => enable_count_high_voltage_time.ACLR -rst_n => fiter_line_sdata.ENA -rst_n => negedge_line_sen.ENA -line_sclk => cache_line_sclk[0].DATAIN -line_sclk => Equal0.IN4 -line_sen => cache_line_sen[0].DATAIN -line_sen => Equal1.IN4 -line_sen => Equal2.IN5 -line_sdata => tmp_cache_line_sdata[0].DATAIN -signal_high_voltage[0] << signal_high_voltage[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[1] << signal_high_voltage[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[2] << signal_high_voltage[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[3] << signal_high_voltage[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[4] << signal_high_voltage[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[5] << signal_high_voltage[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[6] << signal_high_voltage[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[7] << signal_high_voltage[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[8] << signal_high_voltage[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[9] << signal_high_voltage[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[10] << signal_high_voltage[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[11] << signal_high_voltage[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[12] << signal_high_voltage[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[13] << signal_high_voltage[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[14] << signal_high_voltage[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[15] << signal_high_voltage[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[16] << signal_high_voltage[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[17] << signal_high_voltage[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[18] << signal_high_voltage[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[19] << signal_high_voltage[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[20] << signal_high_voltage[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[21] << signal_high_voltage[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[22] << signal_high_voltage[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[23] << signal_high_voltage[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[24] << signal_high_voltage[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[25] << signal_high_voltage[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[26] << signal_high_voltage[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[27] << signal_high_voltage[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[28] << signal_high_voltage[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[29] << signal_high_voltage[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[30] << signal_high_voltage[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[31] << signal_high_voltage[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[32] << signal_high_voltage[32]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[33] << signal_high_voltage[33]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[34] << signal_high_voltage[34]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[35] << signal_high_voltage[35]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[36] << signal_high_voltage[36]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[37] << signal_high_voltage[37]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[38] << signal_high_voltage[38]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[39] << signal_high_voltage[39]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[40] << signal_high_voltage[40]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[41] << signal_high_voltage[41]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[42] << signal_high_voltage[42]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[43] << signal_high_voltage[43]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[44] << signal_high_voltage[44]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[45] << signal_high_voltage[45]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[46] << signal_high_voltage[46]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_high_voltage[47] << signal_high_voltage[47]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[0] << signal_low_voltage[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[1] << signal_low_voltage[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[2] << signal_low_voltage[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[3] << signal_low_voltage[3]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[4] << signal_low_voltage[4]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[5] << signal_low_voltage[5]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[6] << signal_low_voltage[6]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[7] << signal_low_voltage[7]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[8] << signal_low_voltage[8]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[9] << signal_low_voltage[9]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[10] << signal_low_voltage[10]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[11] << signal_low_voltage[11]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[12] << signal_low_voltage[12]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[13] << signal_low_voltage[13]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[14] << signal_low_voltage[14]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[15] << signal_low_voltage[15]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[16] << signal_low_voltage[16]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[17] << signal_low_voltage[17]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[18] << signal_low_voltage[18]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[19] << signal_low_voltage[19]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[20] << signal_low_voltage[20]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[21] << signal_low_voltage[21]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[22] << signal_low_voltage[22]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[23] << signal_low_voltage[23]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[24] << signal_low_voltage[24]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[25] << signal_low_voltage[25]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[26] << signal_low_voltage[26]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[27] << signal_low_voltage[27]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[28] << signal_low_voltage[28]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[29] << signal_low_voltage[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[30] << signal_low_voltage[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[31] << signal_low_voltage[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[32] << signal_low_voltage[32]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[33] << signal_low_voltage[33]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[34] << signal_low_voltage[34]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[35] << signal_low_voltage[35]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[36] << signal_low_voltage[36]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[37] << signal_low_voltage[37]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[38] << signal_low_voltage[38]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[39] << signal_low_voltage[39]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[40] << signal_low_voltage[40]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[41] << signal_low_voltage[41]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[42] << signal_low_voltage[42]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[43] << signal_low_voltage[43]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[44] << signal_low_voltage[44]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[45] << signal_low_voltage[45]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[46] << signal_low_voltage[46]~reg0.DB_MAX_OUTPUT_PORT_TYPE -signal_low_voltage[47] << signal_low_voltage[47]~reg0.DB_MAX_OUTPUT_PORT_TYPE - - diff --git a/firmware/db/valveboard_firmware.hif b/firmware/db/valveboard_firmware.hif deleted file mode 100644 index d3d4b149d488cbb4547212913177bb37f838a070..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 576 zcmV-G0>AyN4*>uG0001ZoSjr#kE0+MeJ9!fKr%185ACAq_0ef*Wuwsb_DZPIsfpDt zYB!Ua{qKv`UNY@=lR*XYox|bq0sgFos_GK1RJxNOlB!IL9Ga+a^bPo2r&$8WCW40X zUB4#MUH=Dk(n>;{q?P7^;RF~XG&KQREG{2yU)@_oylEVHkeLZk_e7rWa?ObBV~aqq z2mMNW(c)_*)GJ(xYFolyuR(C0+>IYcME2b2;8b1@xdon}%&W9|1qYEG(O*DFu_F2 zq~6a%=t=XE$-Oq|C!S z!X9zh@;u{=Q9tm)S>U&-C%6q;R9j(e)%h7Bgw6!Y=v|UIL~Z`+QYyX|O6;UwuwLfO zwkX98r=bf56R@(hcN}1k``Q_v^EsY@IbK}zxJzj0a{~;<|K{6dY4eCVfwjauAT9>z zwit$Stc+$27p;(XYR`n;S37%nq}o)ER2Nq01Ug+IZQ#Jx8)`FWe$s3Ox)yaN+wuv` zkA6RDH&)y78SRzxNcv>^p>%ULwyD%tKi)Q%{|&siv7Lxjp)cI8TIR6??H?g&?qRXp OXZi?%FMk0)OK(v6&>Zpr diff --git a/firmware/db/valveboard_firmware.lpc.html b/firmware/db/valveboard_firmware.lpc.html deleted file mode 100644 index fbc5ab5..0000000 --- a/firmware/db/valveboard_firmware.lpc.html +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - - - - - - - - - - - - -
HierarchyInputConstant InputUnused InputFloating InputOutputConstant OutputUnused OutputFloating OutputBidirConstant BidirUnused BidirInput only BidirOutput only Bidir
diff --git a/firmware/db/valveboard_firmware.lpc.rdb b/firmware/db/valveboard_firmware.lpc.rdb deleted file mode 100644 index 4638d70977232f6087becea9864195e0c8cb3e32..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 405 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=Az*HW7=({Q~pX+XWjpa+}INf9|$`=ZcX)^wIS#^#RwbmfsAi zUBzq=wDjlB58M0HuWvc}WL4{yM;WVMhS>kiQuM8MX%7r|lpS?+b*AX<$$g2c(ktGr z4f?j|N&b{CK$rjjU%{A^n2^G-@XsarS3C{}SdQFrka_Y*2ed8G*bmyPPJQD{oLUcYG~}i;ClIc{cf%$5WU#%MqG#FY_ goj&z{V$7V7ILVgS+c_^6q`^ zy7v##jTh)OEnHk}937~+IoY|`xu~Vw zZS2gc1-Ln>xwtrhA2%m3{HRE+Y~yA@Eo*M$2GF`3A^nF%wDy0xS7M0&)&KiH)cvoq z7u;bxoD+qf4`DG(IU_vH+OjSjm0>6CL(qKjTLLc0Pg|z#50{pSq86v3i8sAb)INrc zrOb6?aq3|_2l$i&I*Ck-D5hcP7$~Ne7}kW}-7VUw!zh~?WXf4e3o^PjY&`mm!y*O4 zt@_Oq*UihGI*u>a9!eh`SLDx=+)ic!4@-}io^Ar4Pefks?%md=Z#qhgieM{V?XN4d zvTio6#=QJl4A(;EzMtJ2Yd_Cv6OOP{n(GCqxjrN_kClt)Jro}O4J3E$bh3PC=x?nY zSadLQbD{GZ4hk0N|2nDIYB)69F!n)2+v$OewbSfY>jG?vUkn@Veuib0-1Ub}e8L#?er8r~cl&?1fxh$`Gy<_arj z{Hu{F4ui#cbGZ}Hb~^$#bm#o-_jQkeW^g=L z;clU-&+@OeR2ntSx*MgpXtn|^<)Qw6ME%bK{*PPyPh9=qoh|I9^Su0T!Dyf$!^jklET?lkikjDDA&%jb`-xE zzllJ%N5r1r9gJQAV9-k}Y0DDu#r<#hdeo?(LXVdq<=Z{!)ODyD)sN_^EmKqqKz;zlbj!LLota9NXexdHX9X%GEJ zZ^}UKhnpSwNA`^XlE9{AL+g$NrUTA3J^u4kVq9r6P`qIq?{H>S*OtfcepQBq-xmQ@ zU62e+NG5^RKbsev_<0e0R$O})CNXy1Corv~d4A5l6Ue|E`(k*r{C^Ocr!-HE;jJkv zT{Fv)tu};JcI`Kua_gped55o=NjCdZ z0e7gVW`3&uA7flCk2_G?&t4Wy&)s8b?^F^+I_DEDv zEc<*oyO%0as;Nvp!1z~@mFGm!AsiV-$&8&JlLRB#$wX6F&DjWMsK)>@7LgojMK}MG z;VhoZH&EaF&&d8-@FoYV=fh$A9|QsYCjrdHRx{~TNS3-j|MK@FM1JCU8HGfDuQ()c zi_WBPH!V(jhbf`K?Sa>-4r9x=yMZZ;uN#YxyO~RUh8-RJ%T1!!*zRcpD~s=xl$(7i z1KHco+3t+)3Bf+T+G`!H9Uxu(D=PSS(Ri1c`?fOW`<6y}_WN14Y^U zl5;fBN7mUqSKOs|R2SWO*(LMXs9kUgxfEIO|48DSz5a$7n!f;{?4tG6B z8%J)%L-R%PO~1jn>JcvTa`@LcU*`*w0RcE3T012uZ_O+UxGm>;^Tu3NfGmgl`i(#^ zDtqStA0E9nu?%T`Rh(Ta9^P{C{&TkEE%#x^qv2y5qx1RJdFMG|sNrx+!?c=t#@=CfKq?+e*B_dS z>wPix%g@#>-O}u$bX#!Zd*dpu+EOwvQ9`d3*$uA%u^Ng(Z5J$7+mJ!v`E0_<+}uxV zTTY}p?wiA?C5YC}b?7?9#LSIXaG=}02O2#oq(CtA;z)|cGP{pV={TBwzE#cY;t-xG z;u3jo6w%#${?~3q^Lc%T5mLz!z<9DXibSz%l&fP+fOkoT1|%#g6N%)n!aOK}P35jmZ_F-4MhA&HhF}h(V%g^{ z8-rgblEI)8^!aw;_?LmmWSyns^nWlvsM*-|=c4<5EBKl64aB#_ZOD4BU6$}T(UAT1 z8Nd7fo*F~HE$Zv}JcV_C?zWkXhZ&SPg}e{1cM zg*>3OZxbz6raY48ZcjE6vU};kowypsMq^J~5(+jsJ4z zIbBgQ&69Om^)|=pimD!1lXt;ae7x8_v{FNtD^Wc9k|BIGOv(%~JYow0?dE7YkC<$S z^e^wP;@8IS!F4Hz>x4@I(fu#{ixKiln8$2>i2Yg_|ZN!l>WwnGl zUeNB%j*5PYe_MXy)0&+8W*}Lgc!WeIhP={8d}+0)CJBR(cLu%?xHUZCUvlJ(h>H24 z{R;Vdu;F@(KlYY#ctSe@%fHtLbur*z7N#v7&{NAfetTg5M+v@HJQl3hP@DoO@O<5A zE)}SY&F?C?c{{8GrPz2%)gEx7W2K2xBd{2<@9Va&RwLqB8foTB+ii{#*Utr0n7GqJ zvQJ4K1NeIB%zwGXq;1CoW~vq~FkAJt)1 z1vD7Msz7OlvRz;goDTKE0=NU&(H|8aMpsP0<(9Nu0^0V5iwytLrd|PAcym|DSNncs zXjjwHVVO;b>K&?CJXau-a#}* z1!lMa5O!mQl#Uz;Ap3__J=;h2|0FNrGGbsnV@!C8B}s44Y?tK2kfpT!m3`wr_|I`z^d#XDA@{Jz_j12I-25mID(w$UuAPQWv-oQJ zOVIw^yER(*o6B3X+h^jA@#x+xn#~rXcl6iA_Mg;Hhejc$?{+LI4Dbd>02kiENE2Wm zv1}|eq$Pu4BsjrNR*bhq{s>AR9}dQoeqdcDZ0(V5&3>SM`5UXJwYnW%3bX9m-;@=zw4Ghha%ga_yTR#p-J$woBajl$3lNZ$`tC zcm8n*h|PNbmG232wLXi_1;B6vn4LRiVYr>@k(o-YSFF2zH;vhbZA3bLEg?SDceG=M z>Rg*Gs{!rXsPHdZZ2R=wn~H7OAa&@@9K9yT5D&wHZ}~B&67e>rG3rd05W-ge zPx+2|Dou(Hn%f5?1tg+|6_ZVM6;NxU2RWRV8eVSc*@m}LZ>c>Gk{okb2M1*CJRU8u zgb?$}vqpqWxj#5l+VsFs1CWAVMhG+IZ$mOi(O-@+3fq=ZRW-(yS~bR3iWzc8EX6an z6!U{0^wvV{0#ulaG*u`qn5>lfY+mjU9@7u{7@zTHXna&$gftdDisPAdT5`UV`ojWP zAhx(T#HWzVc}GQM&%|9*`^)WTa8|dp=cSIW9e)25;Yjo8rcVwhozUJ#DjB4Lv1a?C z+NH8MFO$Q&X}0&1#QX5w{Y$~sunepQFhBMpyFF+lBqEiJzUTazAk9?+^x~W9z{@#SPr9K|i|ER9Hho z|9PqB(L3ifA1Q|GbshMc#`Gz#8E+ZBMC-PwmMd%h2BwSt-8Mneq9aYPFZUVR%gHx5dlr|=vAl|}CMpUSDI-YMCo-VB}->OEY7Wa=QecN8ufppPlgf0%>fle%ioL`&! zpsDb{s>Ce@ylm?uHPB@Bcz9cGU1zJH=Wd2E{cLld*DCf>y1>QcVDF!s9fdeYo5y!_ zLTBzdrE%evAvvGEee>Iaa8_Spxip!ZO06t>w1b4x&SyI+Qkf}EysxNP&0W(wxDN<1 zaYbDcr;?LCM0M$u8ZBQ1<$bQEZTsjowHnADVmEl#zc7zP_FT&}__GYs_E(76K)@^2 z`a5egTLE3hr$Bhcn|8@@8G*~R8EEu;=DS71H_jg5eRI&o?gr!_)ldZl&j=WBf)N1C8piNAy@i^18v`T6*%u^4>?K`m_3Jsn{fEI{a3g_jJs0ZGCI8Sh)w<#wJb=Cm@#JzS?9qe1nQEGKAWVx8otgDLVC34L}oF`uhURhF#QJ3a zz^bARu`o1wPFXUgm*iOeK6@vw>&71U8ty3o`C~_%e1PY`0tyBvqniU=K)hSd(>Q%+ zkn51=(M$7o(V`>o^O*JI4k1(VGLGsfdZD^ui_hbpo%Psh?E(AzeAnDF`Ee&0#s37DO&SG#?Pvjt10J9_ zSPMmdB4X2=>}|s?*+2LFSwW)9gS(JwFFxjlICkr(S4_f9Mb3_Om-2yb6=5aX(CICH z>&eiO`wsmva-?lccSOh%L{YCTFAu#j@Gs9D;osh(0Q|uBb(ph5-ERU=Qsf<5$wo2N z%UU~YjFM43zXcLC^j(CJj~Da>U&2?@i>9$<-tK;sX7)JK`Jpjlfxci2j<1lZ$SyPf zBw`|cjLcYb79uxXcRl{5CnhNvC~al=!xRk($@(VNfOiV8A9Be;&|)ZN=(Zi0S?Iqn zQ#mA)2U%p}p_USrzJR;@fNMW)2JA<^Y=MQ={+~nIclTor+Pz$Ri-mv`G#(!PhDSup zY1MX}m=0Rv%nnc|ih8qVH(VK5&)XFKT`tRpDv_L7js}b8#WBT0yBVAB52=P8{kHCk zE@2``HaV{u}W*4EBfnx-6*) zVImx5PyBDhF`zQTL2kjqQwUCU@PJjOu-6Yfb$`SJf1El2kvB zEs{x9=kGW#$erTT9aTw~&&KeD~-d62kJP33M6*9}ruHTvKiwZ9b5BgUa>$2r= z;2d)KW&+j5HS@KP!L_d{xfp{R@?7GX=G&5&|vm>?buFP{DC6)JX-Bpb5pFpYdhmNcC%@t*Hc38Q;2ZmCx%$BvALxgI9!n|VqHv&NaJ27hz9Dxg16yQ zScTPqHy-}9ISvm~-#ZtFB(5`?kBs?>?{9#&+oHR6CU-j{ez&jbo;}E)F37Pe*m0A8 z&e{#~A4xV4EUbHckm80z9gYwqJoq#Fvr>DB1n&n@MNzU00}x45tWE~+515;ezWBcG zSwLWLQ$@im`xSvY^tyU09wQ{1eb{aDqi+IUS%d{v>f66Eh9CIwsuxPkO_|6?SGi1h zrj+Y5Z{DCfVx>Z=n#?J|CBxw<=2B260b$U_5gZ=wtQa?F`y>>Vo0`LlX!Ya@@UJ$U0Q3bL8E1R|~6SjsOBHAYAq zO_>{s9@BFK(ZECg1iRZ~q?hvQ4QeTY(AhEM)oA$II5*7?JSb=>3KjUMtgoqj*tH@u zgrpO?_?al&Y*h2FjM~p!%P6K#4LYg-+dVcoK_;y?P1R1K`H9}kx-%;3fL>!@jb ztNi5W`ty5T=ap)Cv_)n7rqbE#;lXRyg(Ir-5T43f zNzF{mA=-a$)atdM3-=N-f;GZB9m<5HnuuqHLgpbuWvwR~E1%(=c)KFEOmvQge)<)D z{R4_SVb7_sl~kmZ~ZJW9s3bi0UruwX#@>(@%9Tt#Uk&Yh!!eU}r>URTThEkIT_ z-&X01{ZYPjdLxSFnrljW_uYl%(Y|yQWKy5&NyiPMs2Kx1QnyP69L~zvoq+URgHena zn$^A)*=$Uy&d}z`Nig3kHb{U!fto`j;u(A#=Q@3>q=Rd-Z`${0{OV9>L%2)BDRcb_ z6+O)<(}`hwvA#=2ki{JKhDs+WISTbba#h-i@Tht85Jg#kJmKH7m=fU|1U#xyoQJ_* z18EY>w>oZ1UNlEpGbWR$R^7g@iRWiU^ts+KiZXKgCEC6@p%5{VnwLVe2q~J{^71#| zK8o!;J3A9t3)pHEziY4Tyxt#J4cMBA^>dv+n@x9Y3wgKv^p|n%c2~goV(xU&&@{{D zZ1r!NFuAeLzWlZ8$3~1q6A-Vkl8WjNnB2l&R{NLmmJFg3`FN_;*uh|1Fb|c!G%ry_ z_Ns`RnAgdq9pJXBITu~N-z|H5<$bSXrmo7_ykCWm+-%J>tx~=0dbU5EHLmiqUkL?0 z@;fwur~md?8LFNZWNwx_mr&J4VM(mSv+{epC%cH9cWTZGDUHWlh26vyIt+UcC3XFG z3u^!UX{tr^;vhz!{++b&JLy}&E1-awee}@pe39xv4ZI5`yLjqSFlqEYd0AdVpC6sgvCD;h$OSdIRw233L@2@B`*m{Pb<}`2Q8FySkG;o* zdB_DRIYA-$##kuMycuoejBeziN;KWP8F{3dZsc_pxk`;6%19jDh`V-{Le27*ojtk{ z`6_bN8b6GYj|$0Z#zKkaclgQQ6p|;5g&^k5s3XsGBd{vbH1j+7WX5>bSmVMMfM;IS zp;C<~^2jRPh@m#6QqAGFohiDJtt!!Yb8q-$j<+FibElj!g-}Oe3dv7Z%gQz6Uv_Hg zMkKYxRBFh-?j+ESe5~@zFc-oYkyl7AGHH%8_eM@WP)Me&@=G-rLK}IlkZe|^4~Re? zc~D5+s?t}f$@sF; zv)MEwn~rsOC6uMNIm}T>7>6_%#l{`a*`-})*Y%1C8DTiy*QULF(gicQD1lYL#5>w%~!+75klRvXj6Sz#kD-e}klS)~x-Nu3nLi7Xo@`mUMLY z(+81+yJRs;zVn-OgRe=3s{~yN-Lg_=XP991`w(C4bn*%I3Cf$Pw;tCqPVc66okvca zDe(Ob`bu{%TJyxzn3ZbibiRN36lP6YuNGo|Ii?#3(tXfHoLaCxlHo1%Q%`wrES`|Z z$qs~G3hAGEi|i*T6Y2O&UoIG(-rW^XC}9K6&q zf98<)?@xU(nYN0%%Z|B^;tB-qUwCm}km39l$18u=|Je6$){E&MtEjt9<9k-_K>B^2 z{zr>{^lJZ^8eTksG$UgCJ}0}HXD(LOHkRupVQSHAG?4ip;irFuRN(;QmlWk}*}t${ zF|*N^q++#uk*`GP7|f}G?-Pkm*Jq7l??oT< zh^LHkH6ptXK->ElKKrR^ za?88_Zo;_#+L7?yR1e=jfXL6(wTp2ntUV?~ei9}ge&2?3CPaAUjU&b{b(JsCf3dV> z9$Hq)pG%KkKJ2Xe``nx}$5!{OMLMQi5C1s4UZhfF_~;p1ES4#|_gQU&Cs_6STfZA+ z`y_rJ3i){0=-UTrnJ2GuR&7+7@)BK6daWt`HPOd}e*F(qAz>3<;<*#)NZHPZ zTC`$Y^Lws2>lYQKZ!~^Ru6y*t26FvWzteirr7OCGpQMM3a{Rm|`6R-#ty*{O8@IR? zHmfRwH_WJa0m~DklJg6w+;c5kzX*)J_rpIEGPx&bzkU4vA=@7(J3H#$53Q`r0`npg zNvUn@o-t*toBsz=cBv%tZhEOWQS?R7BJ;cB&e(n-EXIjMwT7v+Px4!AXtpAu74%`f zjP9MwTNR;zA{fjy4ya*rHlZ|O6?xa#?>lIhE{K!+7GD?z2mXEy5U8xGd9 z{LcH#nZgjLrZz!8bF9BpA4Q%-#*@7C%frUgaO9PB@Eyrc0_&M>;b8*R1*+=VkZoGc z#D}PZ-uM7Jq*i2^J`voMJbV0Bxh8nnD||`bVk34iwC)QPMKptCLfxL@vSUwU!|hH~HhzR{GEHs;rB55@}*#8YHF zE_YVTqzUx;M#p)He9g>MV)R zd!6OhNeEjpTlB|PTY!+L9{2dbZJk9EHtYs8&cR>BgRlE$-Ce*q#kxVQ)|9g@L#9=n ziTYlWyoLgw%{yDFKSEfV+pt=kL+6OtQ1r?F&e#5q!ZeZ1#v2Ki*Kvxc5tUGX9&q02 zK%L9PR%GlgupEFb^OE%`Z<2wdj{N(MOP7lX=^`}VQ%EzOb->u0W5|VoieJeu9wZ)< z&_kT2uiNf2O07Yj-eB$1$==t zi?R3X7tJ;1k7xY*Av=+DBW6)Ns8dpiQ?ar*@8EI|etGl@QpxhZ&K5{4h_)t7j#NnI zscK6zA6ksmxhWB(k(f;O$Uq;QfCExV%E<)J0cFm$q=n4`P$s;O-w|e2qu0sm+IvrH zsumf@?~LKANx~Vi4O5fVbKUMV_KB+5#yNWwMHs248=0>1gP1Q+N#egCT2Y1-CaLu| zVavMV)la-tBAcRNwMt-h{0$T`=cufa_V_Ouk;kgc*)o?rA7m~UDp~DiVoiYB zW>-gL;E!e@I4<0{1|mwlqtq16vz+?>A9((wpSk_dV>*{)m3l<)VQMvClq}w zlt8g7)N`IN!?!vt8>fE{m$7W|_{yXD`)8go-_8uxF`DGFxZjvjh{s5;_1`y($4YPG z&5g?by%_dAW0HGr_&_U9m};rlG%FT!7XO@|rHR+nclNz!>h7y^mb}XOP*}xNijo+S zljuoUZLlnV#YX$SWzGbb`P?wgH*xk^ev;Nt+SG9SWA0N7ZR=?84V0+zHgpf?a zZ^RYh^klD&$;|ZIFhj%yuqWT6WY@c1B}m*!vZmA5_8w`a6;L~~=foe?PoLxW_o}yQ zbE~f6EgUCg*Wt76XmzG1*Lek%T2+_H{lTg-WeTHbr>Uwbny!0c)! z`pmO0hQwfij`R*KbW{a_VG21h@mFwZ!U!7 z4QVMAk?5YQHZ=4W_WM@A=xc*xOV*|Jogc&5OVQYbrb`3_36x6mY!sEmI(+y{!^hAF z*kEWd(|ePn9W|}HJ(aTSBU982A#DQ{@5A1{8+XgzOMb2A>yxpU zycd=X2}8)C&tFMPUs?*ccVluXpgKv1&75tz`Wjh@~-U5 zR6{XI;=SWo^Oydd$9ay=?^yw3N93Jqa8{=oM;bV5+3F5^EW@?*l27`VWujz9uq*;D zsqUk8)Z4DLV)Ve(>jVbLT{RXpbq_XwegMQmWED*!b}WCTaKQ!LH>qH z4a)L$M63l5%8kqDGB))W0n8_J)dcycWqnx3XYP!ZR^kXZaawDBS4VRbp_LNePlpX_ z-$y!Bc9P`MYnbk!1%9NX%FD`e+igtTP5Z%upa{4*Y*}QjOl-#dp)aKt36XYVsLoRO z2o=c@7!|@GRd7NO`-c(EkajD)#!i%&D$as3Z~BMD0kP-Bo8C&ZGr>ho{^sb{e+AfX zQH1CALPMyy+OaB+i25u0p9qAB9lwTA>6kXi^{#=JjkeIpUguZ`)^1$Wiu!Ka3Ud<$&L=clrjW=0TLcl~)-vu(E;e|M6?$#_UCMKuy9DOx0w5@Hp0OK~sh_Mx&qOJv5fVPH>0kp;+i7HjMc{uo0L}SgJFy z6s)LM5TTKo(TNvCI)%UDxYusEKD=(U34Bv0-|=P5`lD!3t$RUj+#AIFa|C!~b+Le< zwZ`3behLim&TDLp{70$e(#&X${5v~$@tqXS(-g39lM4N1_2+yt37_)XxZyI4J2*^Q zUvJBf)djHH_W)t_l|oS-SA7Pi$spSAJa8p`ws}*9SYO<#YvW@631LNs@=@+axL)r zkM3n}_&0(X)1LJ%^zsFZUf-VUmt0#8(wQx3$?&9sJfQ+s;4%5wF zF17ifvVgL*9-L5gfb>gNT)V)ROA{;Fc47!+iNo}PLYe%~wgJV7Tp#^||R}1g+ZK&VPE`S~XEx|Z4 z^g?2BX#sqKn154R>xl+_09JhEi(5VE9vy@dSc?(35>Xvn^Aki!7QmeaZcouYJqBTK z2v}4OYJ&Tpjh#_y>_@NJQdzqi}^CX1l|AG;4ZP|Bq=Z$!dDd39i zpCsmuTajzgP!`MJzw+}?XUJF(9e_mT^?B^ka;UJ`XQby55ywRceU?fHkY5FTl#?FO z3-3ML3EuPw{+%jFREOOCQDR+r!y{PYXq|3?6h#dn`m%%rUzsN4TZ`OnCb7P}>JiKt zHD5U+?S+VvQMVL>t%?8-)0?e>-$|==Uc%`n=?%!Egjb8tumo^&uS}{byQL-89~XdW zXeb%?+6iE+S3!7Y041?0ETf+k#rDf@lFB2L4OUZ_c^@gt4P4Mi9RMq63S;dhMJfIA zTjLP5>%=n->zOMDDhiSRWk&z{q)_y$a-RTxuspOMs8`VTOtp z!UiF=Q-y_yRMVGvsz1_As3;jS3o+R2fXvRBx^5b3Cl7M~89YCC09t;9sOoDckD~R; zB#*M&U1Hs@AK;3Gk`bYq0G;MH+yi%yrrbNfC$a&n~*fWwdBHuKVgE=df2nNnlM31DF6Pj?yb%XFAhQ~X{fGU^(8 zBPGKHF_ijS@oGog;ZsOrqbl7RYd)uUXiBd&_0Ciq(r*zfAOp*8la9nW4yOda{~7Pd z0pAV(nZPlwKX-w4HUgLM-AR`)lcS%O)%8raW8mdsDJ|85^&<--deZbX>SGJBBhsjG zX2{ZMLk_anNS@%FB+)nGZ6A+qj6ef~KI%}JDsoJNRWO{S53hdLSrxeE!mK&GXxCz2 zNf6ygNC8Da%69pYP;+IvM{eWux6YHN?S;9Hhod<$%K$T2<9U@OQ&rlLah~W9*qU=b zQUx~Ei#63-)H9`$Oy;#4T{19H(f=*2i#vAK5_u$pYk-@Gv7j`qDTT?I ztX3H6m|#V2f>3lDuuxiYPn@B$^l+LHMa-o-sk-X)fm6N~6k>Sdmw#acu5=fJmfC=c zgsJwVNTH>fv6s4}(7SPYpLdvhNkB)7xmPeQ-;x@7X$qrlPYb=20=lG7w~C86_5x7m zkzan}G-TXO3@Ty+E)t>ITj9-z0i6pev;>$IAL>?f5x1%&Ej)Dx)|!UIc#1(EY`_y9 zV$gctd^13rBq?-iT>hRDa}NxoHBgiGDZGet$OpY!xdT%bfGCdr@?)nVS^IwZYEZ}< zK-VH0@N0m7EpI+TW~>_zrcEG>);}xs(j4gGLN8@twC`~LO3aKJ2(8|MEgG~ip&ft~ ztmP`cB2+exq)XHy{F|YsD!ckVL72r7?4nvc+PLjw&i*z#$GAD3gEimE>23BQv>LR$ zIjTNnSduvHM~ml46&&6e6<#3ZED#vmDYF+biM;Mf_!FSgNw zO~oP-3c1_<);nOI<6Mzjn0o5%|Tm_@C_+Ynvf=I=hT0+i= zUH!i0*-5ISCDqh?Je8@F?wXZo!SPTFDTv#ZKJ9(o(Wi$5HSuae`Y2o`;bWL43*lbg zk{GU_Npc}0x4q22;WA`}79t13kq;FTITBze8#4rJNK9JdphVg8lvm$>*bp{^@3Jl{ zB2g6;B}ztyCY;vgwtb?W;VEZLYSi`|*e;v6|WB zA;#$OYn~2A(RK*ckmB5jz5U7_)(o3LvMf1hwL-y`pyLqgkf39Ad?cqH%4*d7{lz`c zTYWxLkqAMDo+U7+Ra+-TINNVh3`@Cbs;)SJGhD~GLMtRYVulZ1%R@eczXUXx-$yAL zwGCdVw6*IOFiI6j&w%eRmVSMG|FPs$?lJ^J=&Oo+55E{fw-08%9qW%UfjlVD>1kMX zNRj?-G(l(ID;#ssfz(oxmdJhW^1@YkH0=G$c6zVMEspz6~qa7QLa@b8ug zNYVVnD*Y-=Fy|WuI?X|K$w9RC8N3=)6n}n@%oTC zJyV-(UlZ+rM1MABP&3sGV@^;Q#rWX=!{eSfn6cq&Kq3owfJoA8;emu=HLz*mIpc<2 zWZ4o4;et_&d|F8UvfA^Upg(n8d97DC(*yjwU)`F<;|jhmDN{t0zbW3KspoNIpteO% z5k$m+Y@OUcd6%vq!MEw7b258PTOvDZLA_dI=2JoO?J}f8kf|>kEww-qyXwFMh)M&i z+x#c_WP+uAfEZf%QkRVxVM=Wf)M$ZZVgykw02#ai%ex?^#mg_c(Ui}vg$Q_9ooNOo z7Ok-4KqL4wwJhb*Rf|9&VUE$81pA|;kp{X+wAvik_Yr65gs->!bT9jFf)qSd_c$m}Jza&=yCnL#pdEe|D6Y&SPMvDU39xpEi8+vyIjT z(wrh+JpbSL&EJ2uLhkr~uDZ?)3Y_znD;u$|IeZa#bTmh4m+yBZl46MpiNN&V^4^Fz z*PJ?V_pBHcu(#Y^veRX$;^17{zLd;18!&8| z*PL=S`G#!oiZ@>iUVTa+PlX7|yN%m+Bq0stZhnJL{$1A7eZ2XiR@EHf0Ri6Nnp`!x z&`|s_Lse;ZK$XgA2$>Bj_iL?i(%e1&X3eIgS+pp73a~Bjw&jn|(ceoQwu70x`O`Mw z6o9X28ym~&wJcl8Gz7R5Kr=Bhn-dB_38xJwq%ItoHX^ozg1~|aW9leOLu#Gsfv@7_ z1jI`%`{;5V<%KqbZ`+PqLq(OPg@J_3rXoH5d#PsA-iX&6$nJYz>g1#;0UFN#(5Ol; zj+1gDuAX+PN>dit3{va=o2g=p)Zb8X|1}4s2IbACTD>-q7Q~W9uGJ^?v{oww`6m~G z7}xD}&KfvKyLDtx!LhIN`AE-2Riy9N?brB%UDt6T4Yi@<2#&W#MIiauZNUcw{jGq( z4^4_~FS9MSB;)KV)=2$wm?T&Yp`n+^`F6azq+p|U1gF30UZuM_p5GXKN}E2LiK<80 zT8+aK;jv?4+B`iqsvvFbRAd$mI?e_!HY^d(}8lLQpUI67^pm<^#iwhZR{M@z`8E5QoC6kc47ZlWk67Y*kHKe?%aB zAp1+{1mOC#pu~xlI1VPc^nZsL0roher`&Z#&l35$3U_|43aSaKSE)oxE)cVimY9-5 z1wohmrmaP$JT*6N62dt8q+qJwOKpJPB@!sSE|d5%sm2I}ROEvwP{H!Q;#R*mlG%V4 zw96&$sWJCZO!HWkI!Nq=2_cGha_Orqr7o$deyQce41L+E_3o@{!9Do{4m@45FT|7_=h+sh*_4!LCTMo{0oA^>N1 zO`D}cO=PdH^A>=eSoO6558#m1nuqb_50nr@l1gFz@iUv3aCL!by$E{|1VDB2QH8VnwtMy1Z)h z!BwhVKGFkbAgmk-q6-Fq>;`O^8whjHPeK@%niyOe$}bx`^kYe4xQms;?B78ejP7BW zZw;J!K*Wn)I7_X6{vw5P2>IoNB~V?tifKvkRUET6y=xTP&!-ioHpIC9CN2R-bd_RszCl-Jojv%d)0o z^Fi$dkZY|*CRZSUwpB@?z}?&Hi?Q|K5wL$K!bpyPu+M;f_B8Qe)6ktNBuY%n0gc#z zN$xofxhYE~AtGP6aIm$kQNEwJ5UA&Xu#EZ1+ek>IxU-BW-*)!(%sXkE9ggO`u71)c zE+MVPMAo%1>E)+>=z)eEu`+MVCf1eYII_T*jMbW5HlUbqmeWXU$3?9%bJLlmHfAM* zig3sVwrGQ}^Ed_-cD=2sxBP_B9I=dPR+%B^!{EXXTGR%bmU2c-kkCueW($_%gmfN> zzX_$xDZHW>MBqF+oCl8Qj&69cQAaa^E*oRPcAKnqy$@|U zLERsXI^fQ%Yr9Jb>^>QomhA% zX0N!&Q( zOVDiOR)2q>MQNk2?IzN!HFbk+)rhl3&(l%J_oU8;9pP`LZey8;H1L^jO=*mpZIlK@ z&0F_l@l$H8mFlobaGHw5pb0T5gmL9t@{}V$m-v1XI1<VR3m1vR<>wM^`1N$eK*Il&Vkyzt8ej^K`B@W<&mI=Kj)cftt+(JxumvFq& zwN{yTXSzR0R`T=gQYb3r4}`I8WXurVTaEEiJ0>1K@cn$YF>d%82Tl)TgWC%S&hv`* zQT+bMjw99|g^o1qO2q#C@$)Qdygag-@j%2d!SdGMx(}oM5y>I5VF;CGvtKlTiqPPb z<$nT5J6IUw89 z{w(0~x#)&ssrKx8^lFQ)_2XN)2~s8Ld)8p~)u=34c>ct_j?1#8;wqxb(wsRi{)~RN zUp3j|Cet-iw!IA~(`HpE=+_a3l^pZYh7++4hSbD@V`wY{L73^pWU{uXOFLgziZ6vm zZ{N!cLR$=tStF^?n#`4bHSXjnr8AtsPJx+1Y{s+4T7m9b?yEmoPs?2Kod?+ULEyUF; zNIr(m;Uzdrc!Q(%^JPdPE+izhV<8uN#+}A8ivJcFT3Vc#XC3sfXtt!z#7r6%S}S(LNrK9>ktCfb zm}a_O_D6gIwJ+DfIo2!;3jDZ=z)Y6wAYiUiP27%d?Ahi{{w{lNFNRHHFi#B>Qi8`6 zsAsY$CaX#`<=?w>kU$6Po?%+5ZwZ3izARK56M}+^*+C5|sY6#xjk3qa7(dC%a~ba3 zrX=ovPKrkX<*LZ&`+kUxez-G%(f$Q4`!F$$ZR#8@{THKn>G?t}Yq3*f0 zWmqana1@~`liW48IiZh5xCFI@UvRQnQ#kMr!#p5$4LwvY)@UOMuY-5AJ@pXw$`e{8 z+@l9Aj)Gk%%f~RJ#%cI1!`*(9N=aE0g8XXIR}rQ1MbJUQ4IZ>I1D4pi6IyhAgV+sw z8`RrP`EuH%!OJUnQvFf|1O}E!HcXZT26E}2+(_&#&B4x9_Si0D!Ka~Ldo`yl+zRAU z(gNZRLi|KZow;%8O_hO{m)x|VI%`5n#e`Zx|BUyrj}APDMzkv_{iM|BzhtQ2H{G_g zl-rge;5{Pxlc}3K%}|>=tF_c-h^2ydNqqy6m8oS^A>EOZD4nRkuD*&BelDjrUuzvD zF`;3_l)9xiEzF5G5Zx(fHr7~Iy{NOc{Y#pd z3#GY^aiy8!N~OZ&GfHz6WAHA!8On3V0hwdbfvNeRol@(l)MO(c8kwTV9+?$GSz}3u z3e1h~nKYVj1=|1F%0J!yeOx7Ru=0_Dr-QtID@1OvHw&Aagt?Nqb0zdRT&IsdmY=6$ z(Mw4_okYt%1&ShsE_GZy&s?GAUeJHFH}=!VupM~%lUoR`>Er(L*=WZ~tk+*yE8&%7)_a1YAw0e`e6MrcUD&MY z?yh*HLL~=Dg>xi|A^$7<5d-cTZDvS~WXM>kyh3|hH@19|_W1%Qjj>X>3NgA2KVQ(X zRS=@h3_>`wiCnxO6DSmi$OlznZ`O@zX6Red%xLXa;V4< zc0fUDWXPkSZ)ZZIwUfT_YvN}1Ti+5-MvtYH!qR9g{)Vz{g_mor1L@AE)4Fpue-`;B zS8WC%6nrgQ6@+LrBYTCA^Pv?ODq@Ir=Udo(Gz>*6hAaG{YB4j0DVn~sNyxeafNX*q!l<%>`8HBZ5tk(PJi zZ4HNy25-!ef;XuE&Kgs@xh*oCRfIk`%UYpug~n^ZH3-);cpC_I6_q|xW=5q0s9_o~ zZiZU}2GWy-cq8;Owi9TiSwIyCMq2U_j3b!rER{x@p58cH_#sSX!gHhp3ccE_0x8YL zLU0CB`4tR-U?81F|Cp#pI*JPCFdJ7O>>E;sFIQ~_()F}9CDMUdBGviHnEA=OE4Al*nq%K798NKYRmQW-fINac4@YWgXWo~h5?;e$fDfQl~$3 z%U|P4Kzh85k-kVnI+xa_eQk{N2(O}4F|;=kX>S`Nok(lb#OyuR#z^J2y`))!2Z^+1j5L|nrUOub z^MgXl5q`~8TW^~7UP3=jK+3zH2hyf1Kw8sJXVTh~{WJk7?~}JH(%F_GecHxI=UR&N z$w4B$7S5E$J%_%uetH%CG~q7j+H?>Q5=IXEc=|y4X~I2+i!DXEaga!B z+VoO7(gf{YKPaTU3tH1pue22D!-GP)Qjc^#9ch9h@ypW=q@NOLP4>#S7S>$LET&z{ zaG-r4ND~xEzSpt_=@1|!XfJ;k;=qvBwCRbo^XbjBaqsFuAx%)ElPyJh@1T&b)Q|A5 zr76-WKu9>tth6!G{d^(VhG~q16FNZuZq&12(n2t0-d+!_+ z(uA|j^|XF^1`raE-abgAHElYS)}~8o{ghwZd?4AIaF#jMQlz&I3h7GyS!TGUNN=_= z(i>_0bPNy@&ZmoQjC8T3NN*e@QW?4%_mOU`Fq*K8Y zy&1D~t_7sGX@hbMuR;aXh3uPSp&@$KE1Wmx0Kr7&f+oy*UBK6^-p6cV9F-LVDZg=d z5L1BrgIUE?+sBASKdTslFo12Gq8yxA^lpZ)f}OQzGLw*#!OgKiF?lNpDBnKBeB=#$ zMktg2jXAWvVWKfIv(fbAQx$sSCL-+*+l>z&*HLLNCKXQv7e>=_?;Xr$7PCmx)1)7< zT}74*hf3L4IIlN N8&0XB~f@9(8Ab5{Qm3Mk=Kjs^rCjhNud;DwWQMFM;MZA`Q*U zw=DEF4r-q(w2?~b4au=}39lo;)M$kzn9kP={&SeIpJI^}qZMXQE5H3;%#7HU3PRfp zdz~?OOQciqrdxsDSg|TZdOOYAWSX}-Y2GH%yxmRrc0s{1kS2N?Pm66iEw;01-d57Q zad@22ruWjlCGeK$Z8R;m)wI|~(!AYI^Tx~n1m4!ty(RFL=fAUTsXmAxBzw zup7Z!1Oh$ql41~7MjL%tL7_Lq(HIBgEo0-+G5byBir1B3X2izIZo^D&*BP<#Xtsoy8T6h; zE3Cn6IHW)%$w>w?g!2hrsMx|0VM``=i(upwyxk2OD`Fdm*<3I)VjD(mEO%kbr4bty ziDfJ-i98b98WM>^WJx3=wq=+wk>)d><_)4L#KuID@rV%{GlU=QUkl!h*!Z*K^mZ|r zRm8Rcv(weFQF004YA`cm8wG^>Fq2j=V%q?3&p^thz^M`2#c;+x3y-YVXw&mxgr|?G z5F0CvbZ0^!(o4b2sPuecm|#?T3SLOB@MaLg*w`2PL!|~GY*U)usE+LfcC!_RgP9Q< zy)EPQFqj#!(TvoJL>sY9QVUVW^Mxf`6YxX}p5C}LV!MD^=U^h;*=Vep&h;fHLdC2hgo*SNW=sJVBQ|C)V_OYLZp20iymcbpjK*Rj zt;3s0jnP;msH`7mGJZ2+>%|F*!@Mkh{4rvqHWnUZ2=#6}3rH!(9} zyNFtebRa}x@W#!HFsl)+b(qZJC9sUx<^kaj%*LzRbOUa9jVj)Z*zVyoqe<8d20Mc{ z_5~6$8qAE?$lE<-X7I+Q=LuYz-iVC=fuL@T#G~2kr4@|WXhw;i!IH&@?Gli($mN(3 z8)c9;up~na-gq>5JDvG7`zh&NhFP$(Vk5ml%ri?PwyTKkJiIN3eqzK%&Sn8&r8+h^ z+q!_)d@wV3o5aY0LjoCj8L{#D^a;ErXviYW1Oh7!`5wZ^-ZD0R=<+yhG%6);y)YdO zW(FaNS4Q)JR%r=?5Pmg1lUyn_Vv{82X@tdyjbrkJBxh{e%!us_Vxw^N=XF|wFR>jD zW=3p8rYki%pg>p+-i+7?VFa-SD=RiaV8sHVA~v44#LS3|;ZsznYhnxHa66b8ZF(KC z4XH|BF1A}}hGpDGdSd@@GaKp-{v5?gT&_Nh*m%J{iP#ncgo@Z^lvxFD^me0~H@OfP zsOIf1PDWFJaFTdXj^Y*hLgNSAt_mT9hLNpwa2np8!<#&N7nwCdN8lsnSKS|`RXU7H zpTVPa=a;K=J+0DHEvCHxx^X~CUsuGVuF@%eEk8k6mJvTB((HCKM7w4=SP;?ff12V2zoGiu6i0u+Q zjU(YQSNLPZ){9+dxi1t$95%q)#m%O-ir5l(E7EJkMtNRU5RBNe@0$317}SRBF=AtP zK8x4QfYjh^5WAm(yCc8)X=_B4_qcdxTN=yYjUz4I-@0Gj zrWAZ$XY~g&gEt~eI8jbQXs6&!Dm7x`5cD?8WZY};MwMixav@L=8;2`mX0$24X_u{V zEHsu8+a<)tftg6O5gRwJ!0bvjZ_GEE3B5*a7ZBS$n9T-nMr<5uvFY!Hv@~M7hFTxO zEb;1NC{$|1#x`Y!%vHxGZ7Qu`#6}`GoH`l28Es15XjT&&$K=;wCcWO^Z2(Qass!JN zjeX$?Oa+1w8{=BTYp|L(UWqf2E>!cz(cm)7YWf044Y%-mSkK#em?h*JV|!d38~eaK zc-yGXH$r%fd`sXhK|?qiWNg#bvE4+a_h2R&VzenY^L#IaRJ3VAY$Sxb_HKd^EZ zBQ`M`$gQk#2=A_(LL#k)N{!ek(QJjm;H@Gy@+KK##KxY*?tHpBwuQi(B)JjWErid$ z5S&$w-;Rn6mPTwZFksw7Y+`BT+d3+I4jV~wBR2M8ST-7~g0}}SOWb^&!AyF+5gQwcBmA1!IJP`5 zHdq?5acs$Pr8HJWY;4ov;L(VUHxoFeRq8d`ls6MN=6w+CjM!Q`wv^LuO+V%7;{uT0 zsg8}Ok5!mSB31B4wG=ahH^xRYkwJqu4hg1UR>K?hjf%ufVX26Xqv*NZ%F+;?jFwthIkwz{sU%WGYzZ1d-uN1Y;d65idok;F}>6TN4`cc)K&7 z_x(QR&Bh@F5daANIT#LMZo}xq$Yj$3|HR=QC4L*kaP7|Bldfj^>r5t3iy91eDXGy< zUw>@7+C|m@VbnRdVi=koZDlX0!-?mZOyo?P zvOt`rWO}!%4c1=0-MN;`G}rEdcJ#TKdU8wGCR+Nos#T4LmB1VZkz`4Jgk)@pHlm!8FGPjJeJ@CoPC zv2Y+cw--imTmNK9H%7HKYZ%qqrE+DyPaV=$pa)-;D%J9QWo~u^EhBIlS01e`Y)DE< z=>D_0qQK@#j7OVP z($vCluVjlHGp%H!*)-+8T2D+IO%1~lthn&`;^smpz#@h|6*YT`_t}oYabyx#o<>)( yk$V{17*{g;)mK}LZCpiEbs`5OJz0s{reBDwqkVTjc})Wy_%P)M_Fn)10RR7^K#k1+ diff --git a/firmware/db/valveboard_firmware.map.hdb b/firmware/db/valveboard_firmware.map.hdb deleted file mode 100644 index c5c7f1df57d7fb0337409244fab5cf2fdeb3bd19..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 19322 zcmaI61yCF97ypYxi?ziaN=tEf3sOoc6n6{m?hb_lZE<&ZclRQ}-6`(w7D#f_zQ23_ zGk5OXNp{cK&-tFS`#kgPPO{H~kAQ$sfr$v;BOqAAKiqJuZtCQ0X>Ui($f^_Pc~;pzpl06xvAFSP_+oD6ZwXkUs>@UO>(RY=V z#KkYgwqz7%>05KDLD|+QD5|qRS_h2v+>&l57%Q9gM`2H=Kn<9_yN0Wp2228{RdnXM z6u5BTp;1+;uc1NJWvNt?h;vj{U^LEM^K%)^dj-423Sp|1kV`c(y2MHnV4IIk|8RqOhES*5mX<-& z?jObWTHMAW17nS>P}_;vL_hi`aRHoR{#X6qj5jguxYq8lo~5R`kB`=GOS+6l?4=HWQbn)EM>Y&C`LzvJs~ z#16krBNw25!98K5+(`d16kHqrCzfA>B;vP-l2bgT8ld4^HUal~D*?)E6?+;ul`wS~ z>y|cL>?E9NP8-R9`zf&^$9)V|OF7CVlevtG^TXH*sPvP?a~PQi=%nx{y(gZIpNga zG^9t|Zi7T2lwta0xTu?*?&WTe_oRVzZjXt$pz)_&%pREF`pLLgidW?LhDym2{jRoG zc418OxnY@69B$X%P#21(9r~}a5QW6|oxdXZr3$dr^siiYcN`O%61FdM)20hfMsrKc zG1c5!;?+!xb#`6jK@3Tt&jl4bCBDI?Bx<}vvR8AC8ef)%STNJn5Z(&$A?$4_?s5?zYvGJis;=-`XG>2j54e&5aufo5$A4>HOe6iZ>pyn&w zZvHCXfa+XVu|yc>m+Z=6*Ee}Xm7ky%wX^bVsybcdq=oEZ?_Gh?@EnCsVr~7P#BHEw zHaSe8^Rj9=v)%@_S_cte8&5U9NJUgqJ-%nYLh>aB={wNq+ z5s&zRIssaITT|;l`-BYAJ&c;BQsE)$gVq7#A$e!9(^RfNb`5ae4f>Oq09Dy7GC21} z+Go5==qbp0lM$&pbGJp=Gf$XrRzzte0YZBgGfh1KC!Z^ELEfa8;&ys>tk2%usHtRMwW73%E1T}AGL{u~ z8$OySn+|4up~SjZBO%iJE*ISVPRZ#%pcS%`{$-aBp3y;dg2oWbCF0wI&+j3^+e%lK zP&{NHpzih3B=+&+mg8;Y3jWnO`{0X__9W9CF8M|5H@hgU5&-k%@LH9{wNRE6ddbGa z5;SjBA^Dv4+N|0^g*ERdvj?A~6UkPmK84Gy&qAf?oZq1Q_Tsx^_dU@fF| zH^FliBo4YnR(|gVj;f{l206w8m+Yf1vR=n4w97uFJS#jwkkL@g~y0u*o47)8s~`+#+_a>^6ARUr?ptab;D|VC-h~|eGc1Db=0|PRu}SV z8|GbyNUvz;OnZ+3)x_&{Bq%2`GebJeEt(J0@q9tea5nLUD0;tsxp=>-DBD@DH~5qC|*RP!|iUOX3&&I(tP5 zy0c~4hx`(^_uSjnyFwBCka<-g4#;44OMhm>oJ-^wlCAZ*j-t>RWtW_KUC@a4<{=Ri^qWlLdON|rYD;F$MC6^ zs_f7o=xu#URzi)mpMLBQ{Of;}yK@{6@FycAZ1cGny!sM0_Q|YN{dS|v8nVReA3BB) ztb=GAukR!H$I?LYU}lT%c}2cU;1TE)3d{*wH!uxYOWlMCbf*n;jlud>zuiFqHY$T< zJIgS7s!7Z7*M<1Rs+%%u`tIqFBnm;8sJlDP5PMf121!2UmaG=93F*IZpRW>$dl*Z-C^ zCn(-W-YfafXBXdSO!Y65_tmla8We`(bCN@~!dDYy|6Es}YE4h$ngSLJ26}=l*r1{) z{!RfZZzDHNw8PGgMnGwb+z%v(YLQJp*#>YVqqbs7XN0c9@kUZ)-Wkm@unB$~07@Em z;k4*}(Bthqx%rt`qsFA65MH4p+Ub{0N^gj!5oGV-Hs+&lsIh9`70tUfMU$XG$^R<6 z8`&7I43t!vO03hkmp*q4k_UP&9~zU*mumz3mirdL3FcqvnR2j5$w zPhL(V;*}YTW>l4kf)c-^@rbUXqbKFeX_RZFO4h;*y#VdfxF^5TeCF@bgb_-f zb9^q^TXEGZ1T;|Bk}=1-o7V}-Ds2*dVFByEQJXRf3Pyy zwYhy$UaEq<_}|9w4_1Yu;FQLt>6hV*8|-;T)6e8ZUxf8Be=AA{SAR!&mcc4h?=M8T z)|9Yz);;cgQGpw(EpV!cBV%DZZ&^@SWTZlTM|&ui?x0{NyYwY~Hea&AD@VIsaZJD1 zg{)eCnvCstXQiw_b00>-Q$I$75D25edk~|cjUg2!)Oq9Q91hNOz)9s)z==G3JDUu+ ziCo0>{TM;{DLLUo({e#5>g}7|!BPU7!$!A@YJ()}9{Ghpib~WKJ*22*Waox-IC(^S3xA9Kpmi_v*y;@vwtytGoFKEB4>;B=>6l2FI@r6RrKtJUtw zS7j!1`xtEj@1WV?!Zdho;2W_4txVf)is4un%h%}jIZf? zrFTMh1U`pZH$<_CjEk<_@J~RekCuSiB2Thq=KhwqCu|j&kbcA1Tzm8h=oWkM)RFb& z2^&i$q@cg9tKQ=-i4dN!1y2xuk_|KWaZHx6Tv;_VDgb%j5rtYN>1UbyJ0`~;-u^vg zNc-<_^6++P8*|}F`TE7v;b+XcdqS2`O5J*PZ{XDLlN>2Hz=s1(H~_$bp%muK{Tok~ zamI^3~yM zoT9pVx=w{_^)0+>bXHp*(02bXk;})pyxbJ2SN8^Y7pd>p8vr*?@D5XBjRc zkF7D%O7xl>5R=h=2MMCyqe$hi&BW9n+~zyU1I!?bB6uGW)2|B@gcp zqXR2>hP-QQsCAcjn#mDO?%g)+qHl)DKCzj=WJOhvoj`*K^-ax)7WP)ilWPNL*&UA9 zV%}w>0e5@c2)C#73O~KFdoyT}Cn*K6#JmUD5q!NPdsk_LKCNeNJHQ6Br<(Tp|A=#1 z+?)#F)H!y13QhZrmTf=b*c@Rpc|hh&ZugFa8$3WBsw0CI(a8bYG>g^G>pfXQxQP(J zxsSsl^)-&{rFai7m%QzuFqA#Tx5xiSjnkqYlz!kA?~?#BOp%YOyC)MFr*ydC_pX}S zKH2;P2PAM{0|!xXkn<^O=7y-eYe=h9(;qMDk9ASW&ku9`U>&3qpg$MebN@yv>qc?& zc0(|}H8?tN>(1yGPsXH@qYg)Zs{ToFb3?Mx7`ub&A7!~3Gjah&JJv!?u2&QUd<4qn zZE}t(SSB%>v!w#A{ETOeg__Q1j;epOz-1yIDFIi{P*xWI2hksnpM|; zQ2B(S<>I?BP5)X9CQkOCdIl++w`C14EvYXB)45iJopw~*m+jTv1YJ9ht5e= zm)YsiZs31;s{iT7fYSZ_Zl?=;L3c{#W%EY5qmR zf0626l_VVT|3%!~#bZB|oorL7oF_##OT3SHUl%=GgdiT_mxPy|<}DO2J3f=Dtw>iZ zHEa{}mNrHi5}DQh@4|8hk&JsR>0|=2<-z^OlYiFI@d3 zjk5~vw~Dh`n6rXY@;-PmLL*h%tWaV#q4AI5rI5Uo z(5X?^-R0tCKx#{+9+}#cG}pqMb)FT>Vz1TDR{69#z?O8Ol4%9*y4aT zQMeh-2pppBo8e$C{byzWXT$zyNB(Cqm;V?4pAB24o2x8aF0|OIF1JvS_(LVITH z(K4?})dUzK(L-lqNPwX@y1 z9uHhtx~6gZHF%u1-Wt!d_M16U3fi1&8+rqGn=X$5ae*214Er;m5*Fo{E>F*g-1=$M z-tR7)TEBN}J(x{+#@za`weZDge!7A`UogexHDPF>A`0rsbu?k z^)C?WR}n_fKd`5VW<5%4(?36myuKVki2JI0LKJGPrLGRi4-g#ug!S9nS^FnrUNL>y zEmlX4@)%dL7`L?!-6@HjQ)?w<{+&*EA<@N8F!wT(d8qGBc zZP#;c6(e-H{lezS9#9j*8Lf<8Ntb@%0UCQP=elOs=d0u6wlr8}(D+lnJGHJ*(3C5f z{6O4Xv0OVHTg@C|S5^j_Ow(?F&J0SqTg`bP1H584txr8SA4~nRbCw+3(&mFmRW?p} zrQHoYEo;Z7C^S*iY-U=K0Tzi$QWXzG1*Jc3JBD~G&}Y>8ICo6i@`S3j1((i_aBd>q zDmrjY)oUbY>0Vx@hnA{ZOEGIGG+K)km%J5JZ7UO+6cW^3{kArh;|i+cEGy>m2B-lh zwFx{i3nY(wfM&nW-^9Mf{h}`@XpvDD)$Kss-<3bqnO)H)OlDbfalCQzdAIA;Fs3R z+Tkg&uf)qVri^KU@?Ryhh#c!*qp~(A=yHcRIhojsV@Kk>x5a}F==R7Pqe`s?+Wh9y z>+H6pjb`EbMq2*TWuxNoQmmUlJ==H<+C< z;260aLy8}Nd7itwU9_(nt;k3aL9{UciQqllxV?0Cw9OdnZ_oqj>I%*95iJN#>;4hM zjP#~+l`k~$Tr#xKuOMA&Paj#B@19X%vlO|`EpMQ5m=oxnoGqt#qa2DBH?5L@u)_tA z5?K0U6xlzpTiQsTX^|$UmCRm!*3c+(?C?YQPhpowOs$mGvDhoqiafFS1{_4C`DK`t zmTeXH?AMiRQ!Q2vR1sp^oKqvGkiT^UqRiEVCmUfo?sXVrEN7;0(z73e)=G%D?9 z>)g~ZwyF*x2<6VT3uptbtlHYTH>m-{=a)-?S+j(53g)*`@SG~jqk`6_hMCo>jI&0! zR?s(jcb&FBwx>=_4I``btnhErItMBT`hniOGySl$X|H-qQb;0i~o~bkZ zU0aFV;Mf$^$%ndD-KQnF__0mk(<8I!aijifUzkqEYqrXc_UH^QeO)11Uc#oVZKG+S z3ZjzBt$7>*wzGO0JUw#Py*B>ZERXGh9c#6x7C}}KV42$<+_j-Llhf!_Z&0A#n!awZ z`sXTb{Zp0G{ZsA2RqYIBa_)as4gXaC+`a!)&usrxIywpNp1Jh%fATX7#|dek`UkE3 zXurDy>NQ)SJzNIO@}>>PsPtt1V$_=J(_1@W^<2?;za)Bl5t(7A|osgGI`alRR1Id+hBoI=^1hzYqjP?z=G;4zkruX$s(wjDJF z*>(%c-4Ks=Kbj1IKOzuYr^r5jDnV9I5XSXxr;zo%u68u^HZQ{MmcE(eS&W621ez~D zNMu=Z8R|Yx;~4FTUdMubGFcdytbs>Ej75<(?N>jyVi@&fhdFE0;9;ljMoOJhDz6^@c4yUwZrGx}BkdzBFRELu*CEyZ-P{BBENksXAE6TgCMPzk4^2=gW9Bcj7~Cv)>Lb_*eK5O#dA*> zJ>4=tZkmG6lAdEz9^~QWw$Nr0BQID<>Ck`IdT1sg4y3lG9tjGw`;t`46;@hHS8BsS zv2aBK2 zMygSoy!pjG%P@qcQN&D@kv~|9?G^?7EEWs@WhK`590$=wv0Qo1pYPF)$xosmbt$f`GBSn&5!;Dt8AZsc|c*gV;>ws2QAnC>z>cAnAsESu4Z_b<`m=U9um`@ZPfBc1Q z?)kMq{t3;C(0Gw|o6)tQ@5q(!qQ6THQ^q=cUXpx+!{Gh-H;pN-4FCU!C^MSUiGDQ_ z|EN+=dw7Bb9o?hD^P}p!(P#$15qb5{b4I*0Yf8;BeWA}xN_)1+iLZG74%uqW$CTyw zeMEh~3`5`JPH{BQL6XKj9)obcy&D$(qM^)Ghu}ilr8Y(oVafD{=SAG1#s8E0bMFg=W)(y7M#wXl}g-NX#XO#_A% zZlbPUM-8>#=x|Q>_U8#39Xjv2yQWX*ol;Snr<^vNhJmX>l!v=s0w}5pNcM31gH-uK zo>jVmqD&$0{H!;RCX3R1tNs`;LW5$P$ov}3)KVw;Zrz?taueF1^OyR z>CQwy)OHbw09KpS2=db+2L?mRs=Lr`R5r@aTYv^GubBICf*c4i3-M^+;LPx-|g+EcSj!1K=2Yd zpgxGmu0mYJ5_}0JncNl!pn5SLNQ)(X^CNaaJwO-N2-L^+B0NaQHV@KAY{j|B$R-n8 z$4R|8OzY`F@VQIi3+yCt!8^Luu+f1Di};eqov1(mYTBN2%KFOm)HVr;j(*X&&w>Hlh0bgWKWn-}`PoR|iAdZXh_P z&VY|yRG)+{M1)*mnn)w5#6__`jSqGgS)nsc1D@f=NfUh5A4a4#%uZUQU+D|PtChax znwn~FxAc_NxUP*%G#wF2s<1dZv(uhh66NTu&ue3W+8PAsS*?xfcDamZM|8zXewDG6 zZEH(iNn|SE08(5|1{`ced0JlWEkwaV83@V6XCzTLAUSrp!B0TI!y@38x>sG>xp@hOCURoj2=8&>ECg`}Hk2DnknsN~`7BA|n zb#dsMopl7T%B15(qS4dqyBadr?+dxk?`Dwcqjxe;bvajY1WZOEj6a{*Pdul^p`98% zEm%E=E^XrNby??xL4qNh?iR%=;@7Rwrz^!S-t@&WoMfhHap{vuyY*fmU3K=mYPKY( zzY(MwfX4hszXf;P9{ZYz#-G`PFYoIb@s%bW(<-K18vPT?Nfio|c~aw>({5TELG+=# z605A4XZin`T|Z%_Y)0CzTiATQrJUr+wnr7gy*bU^59~y~A)j>rcGrC{vEg%%WwTfz z7pNbMRRvl@_j>0sHV6!QMmcE69uWf|3F3e`6j{G=)4=?8Gy?rz)nm5PM#E69s!%&{ zZcHYR#T8H>-1JHHX0$Mp9ikvV92bXz>CVAyvRqkgI!yGYHHb+Mv}l(qFK4{ox75)W^9 zkpp)73%=ey&A0DfcWqqWEuHr|={EY|Kkf&Vn(I6~O01D?B}qa*FoQ1p9yv8M=Hgh| zO-Hj5_olh+8NR7De;?K8cyuoq%DCTwaIa(oEEpcP>n<)OVpp0o=j% zh60s1u$PYzu@>$Z$|dl3DOXQHP~ja8I~z%8K{f!>Q@07Mv4e{Qu^imye|&Kj8UW?r z>A-qKk1_WFD-8D-1oU`xv2Rv_Puj6{w#q1j~8*kl9=;K|jOJ!PHtiSNo z)oG)8RG)m3prk@;C3dzlX!W3^H|>MPz2>BO8PLr4#vqd~{a`u3L(8qw%4rpqp2 zbSG5kVACF|ZYUu12{Q(!oJ{o*8a9agO?jS30%{!%6Ta%DfjJLGy@f4ZJQ(eGW@;mO zAs<|3XW>L;1Uwf-176>FO~#1{%*&&7;KVmE0A9RQ_GD>P`0ja@6&T^>BX=>4s|t1y67m0!M?|i zviOx>4&dgk|G^3*Ckb&FjC-jqn`Wf{<~^(Q+Kj9Q(ov-gVP$yy+Wp(!GU(afc;t9w zCEauE+{s>KV`1ajUE}y!;aMY&K9O}?IjE``ukcuWHA0DgTEX!8rd!rPjPii|sH{K( zVOT1yMFd$SsKfUfA0T7uyIa%i&|TFT>P>6DFZrv+10|YNTCGR@r`9I~^-|7InAPE+ z&ldu$_~WwpMZQHY_kb|6W&9Z?Im!XV%FZ#MaCb1a?JvWY(Km&75@VR&^2K-eOm?vY zlj055@8y3ZM%29%Mk7F%??LSCjmlMJCc}GwCOsGJuMBD_eR6MO~O zlg9LiWO0EfhMjVrCd9VcJUrNqMrywH-Un{J_K;UCzapY;sTJnuZm%}aUKXl%P=bj~ zSah`<#@7>KDeRegy&%Scm@&;y3*qZN7y|enkl(P*YMeTNe_rHoq6dm+wk&@%RL`4Iz1=+>*5Fqf9B3(Vo$zr2%!-*aR_CcAo+hWA^d$KKpKoBYAf5e*krRQqG*T{Vr?fWr}toP_vzyY zLc@Mu|APs{TinF18;xgRz||Tr?X$$_TQ6@kG><67G&xc4=GPKXGKu1DFYPtSnb$D0 zdxS5lcYWzrn#fcG2Q?_lzwIR^W_lj=Yf#5iU(0iFUh-&SapU0VJ3&;#Y|0*19m6-<_V2u*dXF-jvo)c#{v;+7gz|T-pntPX%(Ej}nx*0(v93998s$ zFlQT>*wo7GhJmOAag$F8Ak>B8S2Vpu5V2=6c?c zob~HaD3)Ruj^o3O)!^Nq2)b>`30R$SJ7aX4(Q$w`MAZvEeN zL+~nap!RP3WWO%*_h1O|lw=}~uaj!>l{l%a%`e0I{gEQ8l`Jz>htUrj7(xiZFig#} zJpiHz`CFX_i6Gv7mtSvSrxDNC(V4#6O7;a|Q-;C`tS@rDKH49I@6HMqoozUZJ-T6M znG+vVolupWJL4X@0CC7AQL;> z1Mmus$elcG42t<$<9xa9X!2`|{T<3#Qh!a?p_FU4cp1T$Y^j-FnO0=R#6VGlY$#7Y z$`Gh+bIXb|t>e9XBdcQewdd;cc6*7`z!U#xEqA@t3{oUg<<%^=T={t71kpmeRd-WX zU?24-P=#KT-{{+Pd;V4o`)wvo@!tn@QggwO10S>_;Q9$-p#uX-6 zJ&n9sDO(VcWkkbqW3e|+H>*+si__kkSh7*FZTz)}Jdy3{6urOQr(JDQg5K7=;Y+vn zp(K{Z<(7f!v*IFQfUI7gk*52sihguKr3IGp!@f;k3xicVk=Y>=Y2OhzcRnqppvnTj z>^Lkns<(Sl=T}HgYiBW}c%-<>f;{YoNBXo$A+a;}TX`j$6`(WMzC4R1wgPSOePg}U z7|0z-E@qVXp|bvCt=DPpAu(I1T!S?W>F^P_HJk49bMZGN;FKoeq4iHMOsXGTM|F=g zghI#Q+_hR@VrPg{9e7%TM;6$dt0g-NyqlO_sJ56VZCFRt0+SMsY3JQ}TmDnc4`!j8 z9a?L0TSpA6i)(>xrsO(KQAm37*aobhKCkxBTmCX@oT^@aGv={Mn!aL*Geff|XWUU? z!K|{ZjimN)U(0MOMVm5B7!j0YZplOnif^>QCr>RHo40E$E>RCirPb(sE2}p}O;{4< zM3MlEgY=M4jP%eo9#bP*GS=d+L^*Z|@hKV`TG=E?t72u-QyVRUena>sc1#tFx$m6h`JFWvyYnN80Z zch3{9w6ImdAn@0G2C$|)(~ zZ|%HBo%ozeLz;3PtF{G`Vrxx|GJq3j`acAH9{L>88WLO$#uLgTIfBcN0HaO(k{K-D z^Z-0FJIsw5&9Vi-0WZnPSau$YtES8DYVG7DwXe*Uo0Wf;&zfDN=lt>Kzg6X1~4 zn%XFcmvWZ%dt6lI(n(u-ThJ<3k>&c}YZ}*>8^bhB=ql~kzm#8LvGJ>3s!ZZpzFtOW zs+qttO#PF>(4-lr&Nx%T)T0a5G8S^1(%Ag#uWj-?OxCJpt{?ZO9qVd8@n}oW(j=uO zEG>_^mb-qmZ5%BxKlu5{6*OKvmY9~XB+rG5c;u978EG_+^2$fs;qN45`9yo|3gac) zM?d}bSm;_ON4pgJGM{i`anXHqCTcrfIeG9t$0NJd1T}IsZ_dXH=8{;@W@dCKx|vrf z;R0pHE}OIB+fIdI0(3LwEm4M_v|(WIUIYvASqhP+r;8sGZs2H(2(7Pi`!-*_N4)Df z85t=#*`d}VpFc5dz$zg-#yroiUSPY7mbp;48gSKsyA#1esVj^m8>&Ej+&?z9JrGGn zDq3ky+_{13w~P0+Tx&GcH)>v>D z3pH>r8`2^t?aFUz^0%6(kn(MiVF_DFx zF&sX5W(N9Jdrr=tr#<; z^+(7z28s=79*NsHeW%M?OoQauBgSw2*%ux@z=R~|qZ~NXpgT`nO?-B3w(r^cmbqyK zNU~~R@Fdj;W(}d%m;4?`h>dHQ+4O2XL|3ujM?2w*a$LPEbwm;?ZQjH0cXTgg(wF%* z*;sz~GhO&-nWNm{-l#nhg zB!@k;p2c=DvbsJ|0B1B-Np2v~zYk^sKjy-FHjo$F8L`+}KK5wNb2o}2So$iv)mGJJHJ+L}6Q zH)LKgL~Eqh2I8}Z)zYo|uC2$jA^ZYFtvS&eU<-Wkt5FK zZkL8#7#8#rT~D#va%PM`kv6MG^Za3RWRHB*mr47DKT2n|p_7*W2jS0rc=0_7x1ndJ zxE+6}yil|KD7IFiU)GW7lNx4Ci?8%!Q@t(|x}9rkTS{6lG(}_cztY{PiCt^nC`!Mv zMd~CH0{}a4KTps&G&D`$Bme9g_Q^LPnCmPlr9y~i`N$l{n3YfWjE=blP<`c(ePL5N z{wLADIeX9g+r7LyRSK#kWXSbFMpfC~SO&!gC- ziSSf_wCK+o0C7S*`HET%L~Iqm=ZwpWYWYWV z+4V~eupa#X(880PM=e#9_Z?QG`t=IL_nZ{gP4*}Wdue|g3LRWoLx&_li507+8ul#L z9M5h1500O6tRXqa2)CHC$B=}~ZQRujT#*GTu$LI5-6gYYnh#-k0$-YsEqZ}&X7ncF zthELFc4o}rLG6JMK33Xenvf0!lv>89yxQtI=!s>|#diS5bqwNAv3C57$wn$i)9C~m<|*r$ zqf?Q+6#pXHwf@J^#Q7=4R&Va8y>qQI*!d}>jKwxoO(*r}JeqEI;A5>u=pmNkM6!dK z{6V_n`@!RyU1IGmzPIDZ(=%(=kes-HD{`(&Fnqz19-vX!ow>Cec;BCMHj@-lAz%(! z+Ajxn^7f}DQ*peU5fXdkw#F7|gfCwD>3XCYJ7D6|A&RmV6nN6-)9K#RJ*g`!$v4d> z_^U|vQ|#MWKe>3LK+10+fLAU%%}^2_6@XNkmtWo1!oYZ{Jsc30~a^Z4tyBQ(v%|?m-K+e2_jZ=Xlxcr=dl88BYw zPKT51jO~%dEt`~{t_AFtR46W!FphN%&Z52(^fWc`W9vf8`$`wo=1j``oysya_v0j% zG}O^c@L(~w(|vRCy84pBwX?g<;S#|Qk=)+>?gd2+bHQp<+1oG1`h{&Zl9@~1S_j@Q zbGq~}eC=s&e8qGGb=CWu2!dek#Lq8$jxw>L0SB+Kq3>n=H0^bS?P0tqGuhj$dh5? zAqS-+{>iEhKD*-d!w{bG$x>1N-QS`Ii749e@DqE15vF!`@aRcU2Vv4rcW zVEFP*ws=3Mw}Kmj>#Ihh2>o<$>m}nOT{R8C0*?s`$9hW}Il7B}mFT(_{=OU!p8Xs9 zL_uAM7k_-^RiP2xPoNS$0xoEv(FGq-Erca_l88_Os9L^76zbrkAj@saoJL^ChM3Nz z@-RQp-rwQjS!JKxlv>XqJ6_N~>S4|Cu=1;CT_4MjWFwts)9=%SLDgdeh#A(Ahse3M zgg|m`6%an+YdTuu-p1r(`op=WjRu*iD`BH9(sk-mS3R#Cw1APb^<@wv@X7%gWdN$x z;?-4q1=FX3x5)DxJ@alix&0U2gMpump6jk8O)CqSyo8_Fq)yoV>yJu*JrP`RZ}8;8 zUXzdfYHI{dD|BoDT%*mZX1{qIwIhvrNrg)4zqe1OT#EW&oO85?(*qv_lT^5BWL+Dn zNE0O^(2vE-Rat(VfY!=ZCq2LVY9AH9`bpmoF0abgYQh6Hp`50TlS0XLRSZai#6cC( zDd$qe66>d-F;R~xtEQbMeTyyvi%{9} zRUx4M4FD6`x;hs0+UNrK-C+c5UOw?+0fOd$wsC@BEdlFHK7}3QP^lWq-?RW)dhEs1 zb#gayXGE}X-H0oI@eZ3UB8cuU)aahMByJN!!g{23VXUh5I=x|=Qm0vmK}2AfC^w+S zhlL#frYzaZ@j^@V2o<`N0~TJxyVysL1jsf;k+AvP2P(FhuL3bV&N8`*>>#53v**Wo z`m<1~08m!Yxx8{-rJeUK72B8DpG|Z6i5Cy#d=H=a<D)Q+qgLq70kPN7#HL$gHg=-vWC5Mgk%js*WqkcXD*Qaaqv-`NYq=0o&q> z!Ktqw|o2NrQk_vizzj^71dnR!c;!Qh_3Re zccwjQVLdv(dUV2i;Dd7|tVamJ{g{i-B5+ko{y^o`vCBaiW)7R!Qf zB#iVK#%~EU5`z(1JTHOUUO+>QE<_YBk(RTLak^x-Tb@oGJj_0^JDv#SEU!m(Y@A_P zvb@J(yo-ZS2c0$^9$G@3h^~Fs{eUq=vIH=Jx3ClTiS71;K~9e`lT?L2Wx^BXj9pEG z*S6IC`?Q0jRFKd^OTU^XyF z($wEbteZ+IbDm%cGV9R$i?OJ`-HlS+J(=@&RW4qgUXsB8@R4&d7tFJAn|i&h^;gD} z)ReP*Y1Cv)RTdsk2yInwT#l!RFt`x4kh3jyl8t*$nlsPZyXNFZ5;Q2)t)tgvV_WOI@3^e+Btx*$s$cjaYmqSrpqgHQI05-w z8AXz-l!fBk2tctUlvqjr*2Da=|!TNnNt^t}-4s#PiH)3M;Zfk13Ug{ltn!(*Dp2u|{En z;*@@|@jGZ-$!n&75agy6a{~fN-6FHpe(!7~i+g1$UD~^N{06J0;CHow-G^YbkOJm} z_sU#{@rOlLzFsAY-ai_@&l=f>WTTwo-UJ=3n3g!u5dC7(`A*TJwymp_JNzca?ZUwA zMcW}m)Dlgb=FjWkxW}+F%c!BBxm5r&@oFnbsrty9sm8l#JpM-|LobJ0L6t%NNNq}@ z_eg_WQa@)=?Mru+w|N`fUJpiV2g-kF@CkNHXFKEV2(VJ7aDTx+EDn5c0{pY57!HiR zWM@5kcz8KecENf3NWA9rk8y0`+)89+t4d*gM$2u@=d!I&H3w|G?FVyy!H-> zwe7$r^T8E7-r4eR@|p@A-Y?dSH!k(Zm;$6RZS=F_=o3~9&d***_f zIiCsyQN?|*@7%b=%i6(yx%QRD{^y1*j%N|Z8r_9v6hUAU2XmA5MJ0|W8Or%pG#`UU zQb@Zjsy*k99;*kL#M)e7li-dmemen;ecVRIn=4+-wKwp*G!%PwX7{#@FONX|6c)23A6Tsw+;U55WM@r+X~*%g105)%@@4eL*Ag^-2~o4z%CKI z&ET;frwHDrkhencHio>_f_HVu+aP$ChrCI_yENooDtMD2?{dN003LRyuNJ)3VY!Wh zw<6?i61-DF-e$pD67p^myupxnyWq_ad0PbU=#Y26;B|z&t%5f@y^A#b+e z@w4qPW4c4|_{0MB9xZtMbU%6X1&_a|K;EF>@fS77TOxRyL*6NZw<+YU5Ip`O60xfV z@9L1ZLGUgQd6R;7DR>;emkQowSnhJc+Ys`u7QEFVZ=>L?2zi?X@05_YS@4#Cw+%Mm zBzS}1as1vcc=JQv7Qs6jyd^l-?)BGtn?Hsr`Xrq2Ji&VuJWM&JXA0gU;Bi8;ui!ll z9{o2<@E!t>Z*;1Y{zVLKh;)bP@jYm}2z_GwvFmhPOmyNc_r#d~hosu>B%=`xm8wgsOQlw1C%1JU z9e<>H;jUx)6Ju#=RNB?1mc3JuoyF@{@45lS>_Rn_d==fk-DZwT%YC?v(rZyAuETYf zzC=(57@6uHe2hE>u`fu^OhQsZv20ossto%D7Zvh3NWVZYWu#W9Q+A{nxVDYgHdP`u z5s2!dTo}|z+QIM4#47iAl9AFfz_Bi6q)J4LKpjd4Ym^f56n7cQ^hoG-VJJ(FuOE^Wn!`b=E|(ieWh0$jK7bZ) z{Z#)*wVcPT-k^|)(9utSI<+yF?G)Ns7q=wBQ=Ff2~F9Nl97Tm!a*saDMm2M$k(-2tkDnEkf2eCVlX7cN^K;7ZT&#h7;5x`L1Uuw4ci0_CXy(r z3Wnl)?{>C#y|#&)Os1Xr&3kX&d-G%R&68dn!Z|hM@(KTDq zEN%oPnP;*yFjKm3csv7oY)hdbsYxm~qkv?ww_)g=Pww?Db`b0lF}aM=vRN$*3|t*Q z4IRl??f{N9OEN;-%3)>GGZ@hF}5fL%Vm}D|B*L89=!&3peaSpe1IEDGY>e% z*KMn)^v!eO2taedRRGU-;i0+V8h{tLaKqg24uBWBFq$xj89OU#eT!T;b8fgABrj(9 z06krMs|`AmybSszubZ~4N0yMtfA-#4jWJmQ4Ob;m2rXsVF!;=#^9P|LQ9B(d#5J31 zG1U%6q)=VbGOrg$Xs+ULXLtL7&i!r0?VUZoJW?>x_y)#?WED8FBr~LeHqDY0jT`xt zftgDuUlQDkWX|N0A&2cI9$&`3hKY9j*)2ohm0@UkE@&ztP34-koE3pz$a_P+zW_`z&rN4rQKOL+>?xRUy*{|U#Nk#!j}FjuLxtmE zT9O8Wan(v<{|^7rA!01HJS7wVDkM%F`#rN7Kx9`tGF7hnxsfV$6?+0^uWT&KYjNm8 zhc@GQK9dY6qWHlqeJB_Mog9|c_~Y+J+tQuc6?z9`El(44XK~ zRy@i9SW8>@rKA6Qm}B#xEr5n@L0}zw4gS0R{`lj$(BpD9RE8nNiCNEzVO)GdMR!k| z*W2B5sJ-*R1~T>J>*tQ)BsSrt>9NGr-AG>Q8|*I-^afZ#i8?LvNZWor5HDs8fQS#5 zZH7h`9NgP}s4mHtilo1No7e^5x^8~dFI0)W%V8y2LQ;o1C$6AmBt&t7tW&JG9Z~#- zWW?>Lq!^pY>SM2#jUk)`O`OUA$DFofnqqCCviikm1E(-vC{dKdgv3%35;<|{2biLH zksv`O0>R=@t_8RzE8N^lK1B>a6y?^6gqLuI=K=N|%=BHq>qU#OBnYb<&m&cqRx9cO zM2Dx>3OD_|URjOWkg-c|@&rQNL!q<{1ijbYS#U5gp zTDbM-Ti_{lm^eaM2dC7Va+s^qHuC-{&&ay~QZfo!m7=yDoZ>N_Rdh*rOrCX*=Q>!0 z?wyIYWo7&STwv~?Dsr}>{n{FkjMigM0W|bjn)%ffnokG+o!sx>;v#|Ek?1j#cxBYk zOD5;cbGa-mJ)cCp!vb-~M)E1Ui}*f?N+L99ByXE530D(~ymTBm`A6WV;#@ z;4a#F{MQ$Hh5BY9t*u6t1^ z7pIx(lA;Cm`n0X?25~cQHL3Q@y59!6rv?vsJZK-9x6qMiNLq+XZvFP(<_Q6KwHhtJ$6sX6L+G5#|aKsQ0Hmx@H*zF z*VL(cGpjd(ba5Piax5TJibS4TOnO747b7J=_#wa^GvjKQD#-a)p1+G{1Rnw7NkgwV zc&^9r-DN6ime2fh#7q&3rZOe<<=B|!RD8uyloCH9JWUkGTlJT^VS>UeGAk+>;7s_* K@*e;I0RR8Ptj*8> diff --git a/firmware/db/valveboard_firmware.map.logdb b/firmware/db/valveboard_firmware.map.logdb deleted file mode 100644 index 626799f..0000000 --- a/firmware/db/valveboard_firmware.map.logdb +++ /dev/null @@ -1 +0,0 @@ -v1 diff --git a/firmware/db/valveboard_firmware.map.qmsg b/firmware/db/valveboard_firmware.map.qmsg deleted file mode 100644 index 87971c2..0000000 --- a/firmware/db/valveboard_firmware.map.qmsg +++ /dev/null @@ -1,12 +0,0 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1640495479244 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1640495479244 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sun Dec 26 13:11:19 2021 " "Processing started: Sun Dec 26 13:11:19 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1640495479244 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640495479244 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640495479244 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1640495479775 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "2 2 " "Parallel compilation is enabled and will use 2 of the 2 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1640495479775 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 valveboard_firmware " "Found entity 1: valveboard_firmware" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 6 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1640495492300 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640495492300 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tb_valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file tb_valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 tb_valveboard_firmware " "Found entity 1: tb_valveboard_firmware" { } { { "tb_valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/tb_valveboard_firmware.v" 2 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1640495492300 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1640495492300 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "valveboard_firmware " "Elaborating entity \"valveboard_firmware\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1640495492362 ""} -{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 valveboard_firmware.v(88) " "Verilog HDL assignment warning at valveboard_firmware.v(88): truncated value with size 32 to match size of target (5)" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 88 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1640495492362 "|valveboard_firmware"} -{ "Info" "IFTM_FTM_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 288 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 264 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 143 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/guoyr/Desktop/qwert/valveboard_firmware.v" 91 -1 0 } } } 0 18000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 -1 1640495493222 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "569 " "Implemented 569 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "5 " "Implemented 5 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1640495493456 ""} { "Info" "ICUT_CUT_TM_OPINS" "96 " "Implemented 96 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1640495493456 ""} { "Info" "ICUT_CUT_TM_LCELLS" "468 " "Implemented 468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1640495493456 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1640495493456 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4700 " "Peak virtual memory: 4700 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1640495493628 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sun Dec 26 13:11:33 2021 " "Processing ended: Sun Dec 26 13:11:33 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1640495493628 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1640495493628 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:32 " "Total CPU time (on all processors): 00:00:32" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1640495493628 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1640495493628 ""} diff --git a/firmware/db/valveboard_firmware.map.rdb b/firmware/db/valveboard_firmware.map.rdb deleted file mode 100644 index 87c7b0d878f3094bfeab5f046c194e8d317c9ed7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1270 zcmV4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*Ce1000000083y00000006N80000000000 z008y`00000004La>{Ux|97Pb`fe6Ha5Q2~shq@4KX|uayqY(MFm^d;@qJ=dGPEqen z&A6c-qke1{PW%PV{4IVDrmB0r>o~T=i36gwz1`FORdw}OkG?Y+jozbv*@|LR(p-!F zg~Q&uaJg}PEQ&X1=>zI_slP>?L_eWnZiE`V^l%@Rg9+!z^JUapxPi8BY{fgYoD>30 zxc^%p$!t20+XXc?B(1$q!x{P@O$Sdz?9iv38~%#oH(K4h)^7m*zw{qwfU|)zfOF|7 zc0$2(8)S{Q-MA>`Z;nRCwjZ4A&I9Zn@590DU&5Y6h#jUCpB&b zchHh~$k3C;lR2C&ZUFK9vol2BD_M(`LZFe2D8=sBEr(-xDZ@E&Pbe)j8ElmZFkHq3 zm0e;co$oE_>m!9!j+d*{XV+$*c+kScR@5On;(9fd*>VmC(!nd6yD;&A$c$u#lfSZK zqz_K6VzACn02T@b8Sk*A@Yy)v%H_6~VjC$gT?)kpgOD7qB9!ML^!{i%ZTn7KhUi3c za!hKgr%1svDU1C4oY_Dbmv4e9`DjrCPH5nqn;=JkG(Mh4^9n zmNJmlz;mHDC5m71wNPtZS>c)&t#q1;LY84W&TRic;hJjGV-sW;{;BNSBzq#XRGZhI zzn{&2fYZ~g`{ShhHviqB-OnFB%FuVW(4B)AP|Y@ceY6F&oyU`n3fq# zp@Kb_!KbkQHvj+t|NnRa;$~oE5MW?nX!>ZQ%Lt^IfS4PIgIt{AgIojrgF=8JK#Ba) zAL>A11{NfFe2Rw{!fN2b2Vx z2~&k^7CVCkP&R%4x2qsk!axjjAVig`kE^e%UkC?-0#HgOB>yN#8e}cZfe>ZEAwiyg z?(tC7oD3X5C0t9i+CeHo7-p!uW2n1pFw})y3>H8s=A@S^Kmo@J#2~kT0tc$h#WBPY gW+pe-)0{!6aX>X-GeI!}QXJwM5dt+400030|I>{`VE_OC diff --git a/firmware/db/valveboard_firmware.pplq.rdb b/firmware/db/valveboard_firmware.pplq.rdb deleted file mode 100644 index a1c9842dd4d4e2a62b22e1c2f7ecf0c371c2b7af..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1131 zcmV-x1eE&}000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*G+@00000005l>00000001-r0000000000 z003(Q00000004La>{;7x(?Aflp&&pY5P}zkgc0I}7o3nnUTJF52--wZka&xX>lCZ5 zT{-Tj@C*C`;_F~$FX?)NS59o`#_>w)y^ME!X3kFbk{!b^?n36tG>!XE9|e&$3f(C3 z6RZDuz@>6&n%AKV$76l~`6gu0@dygkG4|*2MdJBTIggWc4&5X@hUc_*;PgH^`_uN+ z`7(Ncv=7x5lanH=9lvl5GH8DS1#0hoa}(D~{Un&Dfw$&2Oi(81zKy!wB(;v*Gau@I zO{RGd`km2XtNqTNv^t&MF0`5LsA2GWXzjVt>8b|rz*zL1n3>TxpxZe<@hen$2UPaL z*gLhro2%+YzadO!b!lD50V)B0QT1Xb0Gy|%<1K&K>Zuu*HFK0)OQ-{>lWx4dpNzkWcvo(Ku(4u-dim0 zpTX-yd9&IZ8ZGdgL|(EVc4T^QIGGF&xu9WrgMZyQiM(ViURb;$Epl(s5965uS#I!Y0Gm$%;}zTA%Ix$LkiA-pP) zMCDb%(BB*SJ4%0qm9wk}yMvTF8`_zyK&TLHG?g1|mm>6DvSW{7=z5?-@HFX)P@34~&&$$e6BQ~% zmBUz1VO{xB1kv~G)^QuoL=wvynk+?%h)^Mt=Davc6OsO&S2#)$M0GuXNqjXYDRDI~ z=negSD9!nTcC9x`5k%5hIq5?aKN8 z>qmAwhxdRsy9t!|5=9O_rtp(gp;3xh{+Wg0xo>xKV2C0KhA5K2Q_&v)00960cmd*I zU}O+rU|?waXrs#rq?v%28;FBkoZ^FA1N?(RfFeMN{L&xlKw$c`SATxx37^L6L-^ax@C?2AWg+Tx)#~G9w2T}sUAZtO&LR=$4po&--B7id6 zIsVM!f+`Y(DROl3afPa4V~_xfrtklD6-Y6N0v!mmF$Ap2)yLJ>)h~pdK>;W&6Ow;a x1ZXJ8MoAzB+Xz+`91`T|=N=DL%>h=;w)%BCNGV9Q7>a67zYv&G00000|Nm_x{?z~g diff --git a/firmware/db/valveboard_firmware.pre_map.hdb b/firmware/db/valveboard_firmware.pre_map.hdb deleted file mode 100644 index a17f7fe00a9178a93068d9c5fc58b7026eb9abe2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 16107 zcmb7rV|Zmt)Mo5-(&^Z?(XnmYwyhJ}wrzB5vt!$~olNe%-^~1(Kl7ZkcD?JZg|qjn zr}nP>)IkOU0;+-q{yqW$S$=<*zgrb!2S;;T8+=B3S_WDMd;w>3DRZOuz6eb;w4+kov&L5`^+2&=FY(E#&5(qrz$1 zDu+;5D2L8}0naRyR*DGgyLw}KmsaZHvt7Nvxjb-hXfG&hFAxwreH53p%PxIoDwfD( zRhL&^z7-1OUCXaV&sa@GY0*(m@(+{VMs!Ahjq=c@BRI)`+Sd8~_*{15(KJM^-HTgCY7I=kq& zdeC?Ds!ict;`PM)92U&g=2CeVSZ3T}eP?d&&$EThc#EY97Epn(pwDJ$#u zswO}Ckxxg08OSXpP(1TVxoRh!hqs-vD6RGGvRdK1+X|Q3i|b)B0&Wsy?$3P>4(=Jp zcJaaU)7|&*DEyXWO`~)hEE@u)bu38s)kDH{8Vb!OZ|t;WY&T6W)I4a)?JL8nks`xA zU6lTZiDL6z7VL-LvZE;b3oRu#Oh5 zy4^+~d78G=3vTfUs8wtUvol9bG>!LxhqFu6%0IgP)$FQyWixx+=-~zHCEbwgk z6Yxc(m`Pi0ICa2l;VxFHf(p(TcZ8SP$Kic#l)l;L(x*~8yuah);KJ7Dkzw1kZ&*k4 zj=Vi^Pxz5=%73p|o8a|85<9x`ym>P-z25Ci?np-rQY0&w9lx>kxV-4 zTuLYVkQW1!fn3gf_wvCU11cItiwvXy1gV1I5=Qnsw1O|$7;Vn0FWgl1+e$|kTz7X3 zZxA#a;%(ZqoafqsZZ5@a%g0H2%cbd^3#5S0jTtZuHq;Ab+k5MKG5GDP?W2zi%LEcO zPWWToCSK`4Ov)`}k|bn^!N=i&r3QY@*08RZy6K1 zq~9GwX{`<*X3X$-k1qJoaJu6I7Iy#Q>t^Xwc*8Jf=_^nD>llsffuZhDllU3vHC2!Y z_)WV(*d2chN7w3+>4aI#AM#Ob`6{30h+iZ(ajjs-u+@k81K66jS=1&*8~#_|XFr|8 zmsvV-v*;Wu+t@|i8YoZf(=a9gNOS)Y>gff+*i(;IO9&{DsaqAsa{no~m;_U_)hCc9 zevPPkIM2&=yD{U9qge!C3#Cc)PfV}%*r^#-4d6?ZcC~;W_NEsrYxwqaoL!D{(UWlP zP1;Y{{a#PCHm*DPXFmVvm@w*JJf!Z287GyE2sC!HtB^yBNgF~(0n9O*!6wdeVer=8 z)R?w*pYTne@Xi6m=O{m~@~K6N7coGdbcPqin79t3ge7 zJ=K@@+WDV1ksg=9q%+aNf~7MJV-oSvQ{v!vEPh%4pXtR;t}!4-!Fy*d`q>EIXTx`d ze--dGpXipfR2*d(#;7acPZAUxB!>c(2MWd%hp4I&{%iHC*#{1;ItU30K{S;+&CF6Y zCT3x&M$MCn4uxmd+A_-Ej5xka<2?&&jCK!A-|3|HPI;N_>W~lR9=H|M1P2L&7?h}) zo3^|14C3+^D%8v{xqbdTl3O%>mKtBQNzeMN!803ht3g^%XOv)lA>*}hM=%aZ$C|7U zo%&(i_%rwaMu|Y?mmMS&{;OCg_VZSW`aIojB-2HjYoM<>EJSUY$LQbj@SJ|!5LWes*uSImM;NvM~fStfeLvjTftURvLI zq_b}I(*(kp3m8%UjZLa(o>v#tt4%x+`aixgWewBMta#BBTvWoU(!B&hB*@qbPV>mv z%r4tJdE2r18O7VV<_BK*!8za>J}a+nUvjYs8XbmQbg$r85&@x*&Qz>g4x`3wKcO)q zK1XdoKA6n94V(sr4G%o-2=Z%vpPVkwOK{f%xOk>B_;Yd|VRaBu+0@l?d4BV>d0nV^ z54OSYCFGSPJ&Z1-M1qU>Vb~Uj^vf1-n|AH{?ETHbrANR$hwzdUzA$>1gP| zOWGA8k1S%;Nh-6j%FOl}PsOEX{`|=9L@MaJUI#MVBgnW+T2ADZ%%Z3}sRNcA4bbS_ zcta5%J0`hFL?z2N;iBE=tXPyLewK|xY>xlJ9y#@e7H(6VB`=*oQ;D)s<6JR=H~iHH zF&FM+{0O|5y#`1d;Fnv2zfi5h>7-Y)1X_l7f=|)+ic1#92075ip}hY9ltXGoIb#IdjCkiPP45=eTRziUf0n*q>5kBp;_1g= z?AX9~i8i?YXi@9lF*vyC!Q$R zsr@bX-xBmKY5vj8TM<}Ttn{&9g{)EE68!8?Ha8G^ zriqS`riG2zz?>X%j)mgl{gF7u7;Dl@lMEB3F=X&}(_@7FeaGHCM`jvK%qO;_ZAKbQ zBy;H$X^T>$4JH!BEQ*X(Y4PS0iBguu-(B}D@~TXs+ZA-vs!~KbT{@UA_Y1QlB{fMR zJl;jjwxdN&&4w;)>-?I5F zpAMN_Jo{|kA0zg!ukm~P55rgd3fNVx_2s-Cm3kq6?$JC_4alZub&r3>XK_jTifQ3HaSAUJ*mzB`)fxw|+ zpJePpcWU4Nb{))BBuz0rp@Y!=-TEDn;dpxV;A9W>@Xg=@`r|?jX3jGLxfp>~A$3!= zklx!YuJ1mZFz5vIn|%63A%&+>NZ*=rUB?D-ooDWoVBZQxoHFnxY9HG>ApD#Cv%*^C#+Hg`N;27PKysNwxj!swZHLF|GU1pCNMj9qkZr*E(4hZ{)cJ( z1{2=^)Hm3#kUjpa*p66Lmid^E7_B##DNldF zgCK5q@WXT({Oql`L%O7Yh86@MBUy6~>!n5Xl{aM@PGhA=GP{Kq0T}G$5jI3TZdALO=_VS+Lu}cS*(&C%9?J_u!lcyJkfB}=eN2<0P80^2c4kp>L zEN54dp(oBnkF4eLi`rZ?*k97dp9FqJuGWHM?Qex~KQdEqpp*G?#YVvWevYVOBN zJEFt5L}=XynXYksxAo?z{YdS?v@6U}X?g-}LbNOW@`Anp_(>c68xD5}^B?n>J+QBG*rT8ntv1;^gUI=7n{wB%=&*Oaai=MP|cY zX}yU}3Jr!^fAthJNmiXyG*KqtiW|Qz3(|TA{^?<6N?rX96lnsHu=edZ^HmInMghJxJ+BX9*>vi#3w!j-km~m=9kG_f$!gsw0}9{t4JU1ZC2N#Ulg@Ys zK=scwXA|J#TDV|u)uO1VH7L6dvHKJh9XC9-<>12 zLuTxfmHdwQ$=1w5uU*Vr*bFGlM}O3fJ1#D~qhEuejtN|ZbX%gv;g0*jvR3}2kBsRp z5SeVhS73F(U}sn6EoL<0wnJhb7SqpM?SvLOvTr>+s5d_BLv#fV1&<5Elbk5T)=tO< zZoJirGaMols7His#nwT4Bn!{NcvTz-2xJ>Nf!gETa$QFsxYM%s)U2G)3oXN*;yy91 z|+WI%eVhtMu*{(kB05n6Lu6TGD6@hQA)E$|@t(JAQ2yRscRPu0v6;cLq{ z2-Tqf9&gK(2WWa{nZ{KTS_^D7c*@6+{X(caaSQcYCZcmgHs3R6S^@26B|csj%#ikC zSF&F#`=l>#3qDsUgm*#qwDHlm{C~XFDSs3|TX4p}xixaS-fhE=VMBX~gBBh@+361>qn8(H6k*ZL`$kYEaF%u z{}20ET2rfAb{s7z_(ygwdf`2MRxz8{9*|Zhu;LL-(Mvca(;hjdLG zmk0rRVdCVyypRIO96x2SPWb|!)lbU^!GRD54FeGw*nUF#m#{rOvNIS(7as`juS*a( z7B(v2CZxSM=o$?5=-b2!PT;4yAdtup)~#VU4BD9DAF}I%OY}LlCSg88!Nq%u4dAzi z2>t(o+fug?eR@t3T^B<$cMH2ArTi&KR!6^Re)6sx3<>;QoFO@o8w5tT(okRgqpySm ztA6F|Sf*q?FNwtRzZ6NdDx(luK*QrMd0+;SbWpSPlJ1i*4EHbMI||%BG=Gq)cp{f( z)EV;=OF)03uClec>@D9q7yP0+t5A{szD+tASUnEe&q8|z4Z6&X+B-R*&(zLD=qdW- z!!N}lFjwY+;Z%6=^#>bH2b&d5`^+)m;5L+{Fn33f`8G4?3a`z?-{y8|5$64R$rb;S zNjG5eP||;Z8Y2ba0kFp%!q#8AA(j6x0l7LRg!t(yt&TtHiU7UEZO@$cp{wK zGS2QfcFB|`Y)uEdyn3$gMIm*(F!8x>ig_M?F~5dzdvR^?dbqT}f5xwU`o69sj9ytP zA-I8tt@9>U^Ban#AwuRuTj}tvDI)+Jm9+X_Wlp5s06WkjvQIoL_&T2;g<@-Jp615m zV-BX~!k+GfW6>xT8i$kC>I)2}R!;73>rGA5qAb4r{$^YgX<9m}VpLq~P%!f5<3}#Z zt16YpYIPmP4a}woYNnp8j+2>5<44gh(Ty(A)j+OsLcBlD(1{K761HZ8Kl_TEf92U; zK#_BhIFS6bRyMQy#9F|(NQZ{4ER)mUtrJST%jv9xwnnF3RQ$o%lj)gXDX3~#ks+vh zqfP7%a^xBIM-@Ir zDXjOpu}fKJRgv{&W>a)4jpN#?VLu)4!9fcG8Q>M{Vj}4$`8lt6MiF?nBut^-WJrgI z2Lb<0Td#lhX7%T#L`<&eQ%A@9Duz>Ub%&`2k!gwGrG?6K1QZ3sJcAG_bV$7k7hTr$ zi@$E(wSj=YVNtgwzip8?0$$KQW0Iv4a2;Sr%+?lth6`4I%4T-zj^xQXOSMuZS|ViITN47Q@DHiLPuX4e^?*!7?Y$ARl|Eh z0Y}m|0)aVQf_e8nYpihIDC88sFA=eh*m+*Lv$Ing7leCw70>qIic`(BZI$A~FvIL@ zNigX(;C-7@+eXQ4vh?03@@-*mY!?u0jcX^ypL~jFSYqnFTtRv*D!b>;49g%bdeGY?xx9Nh3UdgETh8r0?*(FbYbn@Ht-W~M$^{;`9}$lvNTAI{MpR9HgIkt1>U=s5CkC zx2|Q}Hox4|PCh0o+R7hudCX-En__O|p8}HhUY*EdLMSf3^dIVk-O?&kzASV-6j%}6 zlv%$RLAe@I9`0CwC4X9xGV2;5cvo@XJ9r*1fO#_}HL9GPR{fBtFE%t+P=3I=Fzz13 zeKqksR`ER6JkMKN7TmHx=v>5o{q1?Yc9C~g8)EY(M5iPqT#Y0H*PX2Q!4*QP8XrwI zX8~5Fu^s1eI>+n*rO3NyYSj5N2o*jlhlb*!Q$%dV`kG&0Hb+`$i2Eoy2S5| zCv0x)=z)4$FiF=?)rN;qf z;|n`-OH&Q%jHoP8wRw%#&FzReg0!Sb!onh|?!a7n{nN7iKhR=6DqZ)p4QeL3QpOs| zjcd5C+@8l%P!>msrn4Zj_(z4+nP@K~i}NF>miy{~4V9_(p2xf^g3Zf( zK5L*O=I&W@y?N@nLdJK73DVB{Y%AlsNeYW>x%6n90?YvvlVb$5Om^@R;k5yDE*7CWlREC3k4aSYkmt{ z7C?k-<@YTK*PY=U|HwA-37ZTP*RNgGwS{fVuchvazDDXHY79*@M zD-j3LID$cU2r#`vYl(~rh@VDvf}Mt;A14A_QKj($c*aV>)B-L^z!=ac-;(N$ z4pR~yN&l{CU{zu@%P+);Hg|BTV=kVgidiCr`y1#HoV_lfMbBLc1OsrA0kgl=GtDO& zT*LczF7uF8$HcTm^(0#&6tvi>?0d)fo--A+ELC>>-_SM66tpx|c2~v2Q?_9x>>U}A zmM{kLh~fttO-X1=Il1x`ldFlS?D;qJwL28FOuv2FJU!xDS~2YIzor(z@ZMG?xgS;WDt?#%9EKwG7ew zcs;O+l5=mflZdBSLRk*L8=uaJ-K*s*DdTS84!8@_#PF2-;l@v7z z18yg7uQiEBnBySs2R)j#_%al-rn1`SR%5Uo2Lr_JX~KUF=LHzVbxdX|v7g7q#cvrS zOse=lCM4oh)R`^ePp{nnqx`sKW&Wd9w`krTcNS=gL#Z3eW}Y~K;s&;VysIcm1@!UQx- z2nK6XL#2g{3}Pk&OKyC!^56ut0ZDp*M0)k6)S8y3oGqK2xMSxPREZS=1hg3(rFmQX zB;|xRpO_^qsn-rIy331Wq~(%zxvf^Si^F|i9jsHcUuSS1hrc;dVjM~o?6?)rA0ntE z9OzjsTaLb19K>Cc=i+j!YSt`1jcFh`A4+re4JQr|va}R@nu?wU3@!pUd;f+?5LHt- zTT>FTaY{R9h=<2`FmrYA>dD;A>*%^M$$A(q$AHC;Supdq9%GKE6|GdpoI(nalxlmd zDFXa%X^D(*i##Of3~IT1!S~&ZI*BD9cCaYpiK}_A#l8&);DcZ zXA-*n5<9*aO0P}Dl*?WHN1;A{Y`)dXgmyBhXs>{NQp;ZfHFmqiPcVB9Lrl@XhqEFb z@YR&sCIpo%rR}|7_Gv-2i@BFN$@sK?5Er!^6eJN-)S)b^c`+pZ1IrjP{{jG{H8FoC zZJ;$#^ zRLg6mKL4(RdNBPqjyYio1}W=JXIin?L(EnXb3e{cLx1L_9uzTHlOUaJ{0SBt@LB(~ z8C7DjxgeUow6Se~2AO$yaF%joBjiT4YI%^cvgJi@r;`#5b%lf_0=7e|Mr!q>)S8B; zoGI0OpO!6i9}cHKhh`aSar?x3d@gBongZgVAt=8E|1&yGUER9J-F@d6JI&rtb*FVj zb&ZSa`4bxd9f>NQ^W-q4dSc3fH;51IN>&AM=wZ<#9Ch zJ#=2oIJ%Wp=#|RBl#N9wy)PY?MU5U$yVakc;ZGd>!}rt$hl5Dr5>>x}cu;2T^{Z~{ z<0O2ZULLYfXHTd3;L8CC&-q@5w(k@9>)y)cL|(3ur`40#ynn3UHD0ZB)l* zsM)ej;I?JDq!De(M%`%d#c?(PCP!&Po%M{;O!*JxBNzKvLhdE$9j zmbK-sYT`VmpW}tva_r^5M_ONv*e&_LB6?$1nr>@F62Q8Rr+&t#3pPBf4b`_)EY5M$ zyYZB9u-VZnqqhnlaLwjuXxOHdoL?9%CT8Y{W`bIuCH3CQc}g0W%50Tlur=$)#}V+R zZp3ACW{do8WlepIoW{Zp0Bzi9bDcL^&b|Q^cP&l{9NI`Et{Jy2GL1OU-8f2!{y=FF zTVuCJXpLw&B_$>a;G!}{W z^DhKMNQL);u~R@1{@F%57!x`%dZ%CNz-gG1x7qXiPzFFQh_br2))K1!2wxauJD`iR z)Ub#5{EelY!aJ&fBQByudvT;jlgL$*=xYP>#iufEt5#&wBOht9%X+3<=Jd-nC44f*u zW94p~e+=#q&S9RCDxF>_8OCgSoT=;!cq1U*Sp3yRmbD+JYc$V1GdicgAI4qP&OA$4 zZZ#iIH^0Zg+TzH@zOb8%M01T9J!Q5gj*b>r^Q^OseJoF?^C497zIei^&LgXVOuG8> zQ~%j(KHx~Z|_U^@_z!txplx3KH zY3b#$&cWasRE+wtf@Ga7Lvp-$0*p6Ko;{6PTi zUOn2Ye%bUflT5?!<%E(L#Q4w3VijAv9zbHPX#9F_QB_g0gMF?_<$aRGgz=4*D0YR{ zz2N|4jcx<80y62O@^wsmudP#nPapiJIO{X-xAMJw9)tfh_cx?mc*97|K9zgwnU$E( z^ujOCyi#8Hq8k8T@^1{q4m3o$HqqSfUIpP5Tyskm%3`VUwY+m$^CD08Psn9`2p}t0g~5~+Sby^#bjTL* zmU$q0*~miG$G$KpS;JWsO@)eE*z2A31GH@IJnBZeTk6D9@=KrYzWs5U8*C6Jf|6$L z;uMdzct~lFIyz6@nle75xIihnM18uR;wm4JIKi=Y6#(l5+I1TN2?BTx( zek$&nhjpHZb&;2Go>xO!nw3QR2kjNpfA?;-w;z@xnN)e|zVWc00eAmIQmB^dTE=oe zr7BMMd)5f}DCfRcG4!S|B<~EOgdkdYuvA^7Tdn1CipnZIeZcfD(B){;cgTX~q3jZJ zYagT0B+{&k+u*5x8I(35ypl66;jv8nSR6_EW=?)6S`T{}y@tg!1_r|Wqk$L7a3fgj@B6%ADoUZoDGFhN|&ei2}pFf%3I{9rZd%4 z{ZTtFb5eapRk9d#Si=}}ki6U2BHu!kbZg96oZe(4)yhQT*g_L;SDj1FH?P}zY>A9b zezj=|(UFl`HyqOOK4}XZeI1*xJ9d7ouemkWZOHGk>EAEHB0bSc7f_Cjk$pL#X&AOx zglOxscv!7_*wt{t+pTL~0o&xq*cnjUYAa4MmZ} znQ5a?!HtP()Do)f#)Mwvx-|?C2|mo#se%wPS(Dp?*4avv3!9s@&~4)t$qs8;ll`|M zw(fieHfuT}b2=hxTAAz~iR_;JWaciTaU}zHBHu=r(rJEaQ_RAwLu;WyN2)qP{Os_p z3-lw3^*UYH5*L~_8{{tI-la{ijE|20CzpA3$0}!Ssg8{~psk7`*PeL5b0Sf_CWm(W zvJN?~in;ma4m%9)khSJ}oPF^w3*wQIQ=fEZS6(m+!$yTdty*=QP)ZMM?p+ZavHrmp z4`gl}q~6$pmF69t7Z-E5?VIki@fs9OSAv<6E~7W(kwqxN&QAOBCAr7F0z!3l;RJu> zx4Q=7=2v1{m;z|c+8nE*$H)`3%aECbviW}B^@9Ug+PahYK1=4(=IZ-y4xJ-%v68o1qS>>Ody5Ap<8umGCQkcAEU@cg zdW>RA2u2YM(JRa3)!=cBBM3`=k+U1f<3CN$u_1~vHLV|iee`@CsN;czea4Ah?p2KS z&c^x-4JNvC-gg(~u;Vo-8GjJ&z*f^j{4B1pAssYz8<88a_{NxN&X7{SMCRjM@jSSGb7?~xS`TI(io@qJ^cXqYI7{iF&asy z7|i?5dkq1F}zE(DRG*2OS}sMB@6s(pZg)Kuw)oXPNtsfq%j7;WQ(i z$PXM+<#i2Ruhy5DE5u}(`0bQJI?l7D=n_$;gGKf{I+BKnVOchD-K)TC;)dQ2>4RFV z%s^YGUtOc{pBAjo#x~azHrGpt>w`x+l5THo53)96-IMTupoGgBR{>jl0o@ao>k2P6 zZ^$U{nrVH7KyT1q1}5RH)nkm4;*=*!7A}kUVqzZE_Ocrh*5{1%ZCjTBTM{-8v3st9 zif+x1Rm1p?&3ND#OUCV+ML0LD4B(h%{z2j ziWHBTIEU6zs80#e=SE-%z$I(xi6gK1?YL>1sa_tIRQ=MMr|m`go%#5QGmm$>@CDM_ z=}xoLocSyE*K2=ZG4I1`FmZy<0GhT%3?=ns!Zho1evFeUn)Oi$o}+-zI<$Ae zuh(Bg$0cEY4_@*ZL48M2(+D#gG<>YRL7KA#E7#|+Co@uyXwcTPE6OLIBv@R3)+g^* z|J3^gO+yG$C*A5w(`BYzs<7_pItKV`i9g}BK!4R?y_?!xd#-Qux(0mpg;*Z)D)T&P z`V#?>L8_s=`fNCT6|j31LplE4!($HElC`%<2KyAEZ05K8C;|bn^-2pQ!F&~}z_OFp7XV^k)2?i(hqG6$E2kGpRzLT;(m;Bvf2|EDT zLUadFH!FegW}mL-uHK9~VZ4K}K97QteXzi*kh~+a?v%9k$~p#g`))TNHrd%Un!4F@ z-{_~5zn8R|UkmtWfO#f8GhyGDB5iivnsVmp5(|sw*i}eu3Yfi0zKS8i6#J*FZyU3^ zRbX{Y!1D~lZ_3y)<*blHyQpI3HOp5fUhT}&0J@=PV&7HW+kp@MA#+hhc%r{|LVD_ zHG0=q@@psJ$04=g^u|?pNBaAv8<$4AlTyi0t#+ z{Ja21aev?04FU)vbzCe1NpD505N@a#K{10rS@s(t z1)zm4k;o)QZRdVa7s21(SkB`Qq9JBfeFG0Q zM1xQLUO|K~;S2Qr)l$FdJ7{$)dWq=@+`XO7tKmJ-$}7Z*^CRIw4@HJd(MoDmu!!vz z-F1Ru4j9bxU=(=)IDbD;sQj8z^q7QvE(3P1K4~TGV^kuVo@3H8kFc=8DYh1gu!Z#* zjp90ABt`1OmO5706&OGd0bp85Lv=1C|7oV_= zL24MZv)s`uM=W&~^kf&R3JBS{aSVjk;_vNwU7j|jA%+_uxNV}N%0~)tXGOdgFu(wC8nQZrvYn(JR3)rACI_-fCwhyHD|*L) zKe%5SQkKR|Oa!Em(QHgS+zN(_sYQRXBR%vC?qho_7^2Pz{;0Dmxw>oSM29{Yx1AIKuaD;V`Pd9UT-a&$$n7aI}xZI=m|KvF0!SXj6FYr(49G zs`1CH{SQFuw!Oev(r}2wy4%EqXdskN=MDHBY{{>sx6WsHzJu$knS2AoKhJ9AD9>oD212{Tx))vU~_}z!y9s83%KZ469N(k3a=D2XnXv4H!JuuSC-AQcvbg=obX_y!*I=W(dy>X^~Aa zX5_=vBJERCt{epy59Y=qYkPBt469i@*1!c9kLHr-)+MqP4443opB!|C%$4gP*6am4 zM1{bp-n!46XNtG{`-OhndB=bGqOQFEG-W`4glSV#WenQLNN#msR5EueWaXJuGr z%xMakvEZ>LE1)4*mAQS`h-~VaawRL6xHI=euR5Q5WL!Pr`M*Cse?#!m(|0DCaCJk- zGjzt}x#2_!Sf`-SXU=;SPQ){G^^y+S6S;t!kC`JYebnsDYxSR#C?Oz)!tnIgNSk?l zZg7uuDUsFeF>Ci;MH1<6$GlF#EV#J7qcLpA@LF{c>6a9D;%E$>X?kmb7U}l{j5Mds zTpN&^X`)+4$gYiO9tX1rx-WV>ka);OKwOAW`*s$SLP{z|1u?nZGp7 z|1QB)$%H|}_Zgf-HZ7GTVOYn=W(=FTBEes{{!@ZG8hz${KLCr!+Rj`u!>TmTcL6rG z=N9Q#UA`~U(XYs+C^NI}3=HcE*|k11&CsSqtCpA<6Qm0Vg=>IF|CGPNu*oT~2xqt% zlNZNDM<6Zh*dyY22-lj}(7i}SUOA6}(wOc0E0RtvU4+sIppM0=uxPxhg z{;OyK11>n|<4l7YIwYN7=o9@Bq8Wn_B!rq-8i}>NH58HT_nJ8#4IqBE>6Nz$P)>FXfL{xs5JlrHJ}-*U+lC zr*?yocrsIY)YBW&EB?12bJU`RJ2p3L*56G&PD)>j^ZJJ(&>lo*jss&zj%CYEcL#5R z2%W|5(dT=^hJ(cnbY7L@k7_eSirHpy0Pe&w(&hF`DDwaDn?17ql&Y> zM!dV2R7=fuX+y4h`~eUczzpL&<|Yb!bY0)U_(fl1j&P^ib?8C_cno%Y6Qv>ii@gr~ zZ4vJ~AR{QoSl{TQ(3_fV=yCrR1kcIje{zL_(*1pbW-!n%xckb>gLu_93Lw>8V$k)0Lu)r=wK?M}vEOQTC+# zCK`N7!e>jQ758}G4=r~8P={o_xyecS0_|=LZNQy!OP62K)zW5W?|s5|Ww(?s;oY)& zDh=?Py&QRtD( z8W+B_*^cJ=@TBT-_Ce&`vFnl$Tzc8`1A}NfU$_S`jzKWlSDb9ph++&3bV}{Rp_4Ee z&1HzE)D&Ad=)>pxZ;fAHZorAjmR88h$iB9^9dEt@=I+U&byXF~vuKjmoSdGnuE&#q zIhbfRV%d(2)$lv7qO}d7%jNXYfR#!p3;5ele$ukK7BpBb0?|zZ*zJ)> z{~$95iGFCb|NT&N@Jg2b1xRlvUxx^6egmU@3M7cHwlISQH|0fwK4 zCbG+mTWPT&%urh}x7}&EesgL;UVEY0q~TNpyZONuK>taVzcM$|IPOdP)8B~i{*wym zI4oE*i#~3Dke6%rF+{d^GFmv0o_v6o-6-CBxFX z90y9WcPMbGL&rfpL)+8y(J9&G0gm|~!GH9E&d^FsC67pwF#ywSj#_-CMV=2M zHI4P5V>_ADfiwk<-KhEY*x-?!+G$1Bx&ub%1zn^IHeEtavDJh|e{g%XDgiAV-(0Lr z%v8~q$>@j0LTELx`Un7Mf1<9K7f6b6O4?LYo@l4Y3a`?29bH{}&u&~_1k$W6PryAj zxzJDV-2$V|Cm3*s%7CgFJwBi-JW#gRZPEpUUr!P(l~loFLoBI1i5swBX*qspFVY4D z5riN9kfz7SFo>XX!jBA^g`SLb>+w zn8-qucyO6d1rJc?g}p5bz&k)1xUhD4E>fYT+MyN5&Xs`yaoO(6)eL`)JLS!!IWCfqD4$`P zQ=*%UjbU3aRqo7qf0HnafUy!{;3HmQuzV>Nt4l^5Hk6#b5{Mqc42S0CH5Z-NMoYDD z-9F9ZLZX?%7p4e3Wi1OAX47)Am(X4aaoUH*-`%+gAHu^uct4C!M9|N)A?`yE9L`l4 z>75<;I0WIxRbPr54U5saci$i4{5604xlOLX63}F+3AZjH6aIOB-h<*RM;#2!AK|Jt zcQTYnSqjSGjWY1~+whWCYO~)GtqDwtnq#fOatXC1iCMm6^cZIZl^ZMus6oL`$A|(r z2y4O!tD_ha+<;Qjp~of#PWYDpE`JY#4wr`bYAzvaDv!%Z_)#uFvB8(N$jTW@@1!|> zdc2=-sORA}o}YuE8c5Q@~YJ`??7p)tF0VqJ$p3Npx* QHcoAhM>X#V|IF0?3s&e^`v3p{ diff --git a/firmware/db/valveboard_firmware.root_partition.map.reg_db.cdb b/firmware/db/valveboard_firmware.root_partition.map.reg_db.cdb deleted file mode 100644 index 8874da2f0f7b828e6e235b8c36862326e505bc10..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 232 zcmWe(U|?9w%?KnJ7_x!T1W1Ra78Pga=P4K&=o#u6Dmay9=A9l*000233jqKC0001M0BZm=00011WpZ4R5H!?6F zF)=SOF)uPOGB6-hN+3*WbY&n#WNCD1Z*D*700000000yZ00000000sJ0000000000 z007Pp00000004La?A_mQ97z!e@E9SU5CR?%pa|(ijt6UI_J{4~y9bcqCE~<$bP9*1 zU`Ka8oy0#6v%5~T-_My^O?DG|?Y88*l)I<9>RaEcu9@lW{pqu3&wf7szWX^jdG@RE z-!HGfzq!2n=J`J^zkU7uZ?E2d_paUlpPc++{L?Q#@b_oqb>oby%iqoT=j)sA-j2WH zpYHrQS!sLn>+wIoJFS=f5BS_oAPl4xfGg z{O{K{uik9(%U3s-Z!Z7y>gMwLYIV_FKP~DC3F)M=q59{DxoSwfA{+HK3w8(Ff|3TG^6;O-( zha35STu1)wv>zk?tGCa;9G@h7y(;lr)qf}T8