mirror of
https://github.com/NanjingForestryUniversity/valveboard.git
synced 2025-11-08 22:34:04 +00:00
固件中添加了高电压抑制
如果阀已经是开的,就不再施加加速开启的高电压
This commit is contained in:
parent
89bf50ea46
commit
054f1e9495
@ -1,16 +1,33 @@
|
||||
# 阀板固件
|
||||
|
||||
这是阀板上CPLD的固件,严格意义上也属于硬件,因为是描述的硬件结构。这个固件是按照通信协议写的,但比通信协议能适应更广的传输速度,**烟梗分选机上`SCLK`为2MHz,高电平时间为0.37ms**
|
||||
这是阀板上CPLD的固件,严格意义上也属于硬件,因为是描述的硬件结构。这个固件是按照通信协议写的,但比通信协议能适应更广的传输速度,**烟梗分选机上`SCLK`为2MHz,高电平时间为0.2ms**
|
||||
|
||||
## 如何烧录
|
||||
|
||||
去学习下intel系列的FPGA,用的是Quartus软件,自然就会了
|
||||
Quartus软件
|
||||
|
||||
## 程序说明
|
||||
|
||||
都在注释里,清清楚楚
|
||||
看程序注释
|
||||
|
||||
## 作者
|
||||
## Changelog
|
||||
|
||||
**作者是丁坤,2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156**,他是搞嵌入式的,自师兄王聪(2018年9月入学)毕业后硬件领域师门出现空档期,被老倪催的没办法了,就学了硬件并顺手写了这份FPGA代码,无论有没有毕业,作者都很乐意解答关于固件的所有问题
|
||||
|
||||
### v1.0
|
||||
|
||||
继承自老程序
|
||||
|
||||
### v1.1
|
||||
|
||||
丁坤重写了
|
||||
|
||||
### v1.2
|
||||
|
||||
修正了引脚分配
|
||||
|
||||
### v1.3
|
||||
|
||||
- 添加了高电压抑制,见[issue#4](https://github.com/NanjingForestryUniversity/valveboard/issues/4)
|
||||
- 修正了高电压时间为0.2ms
|
||||
|
||||
|
||||
@ -1,93 +0,0 @@
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1653573984153 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573984153 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:24 2022 " "Processing started: Thu May 26 22:06:24 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573984153 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573984153 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_map --read_settings_files=on --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573984153 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1653573984403 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1653573984403 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 valveboard_firmware " "Found entity 1: valveboard_firmware" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 8 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1653573990653 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573990653 ""}
|
||||
{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "tb_valveboard_firmware.v 1 1 " "Found 1 design units, including 1 entities, in source file tb_valveboard_firmware.v" { { "Info" "ISGN_ENTITY_NAME" "1 tb_valveboard_firmware " "Found entity 1: tb_valveboard_firmware" { } { { "tb_valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/tb_valveboard_firmware.v" 2 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1653573990653 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573990653 ""}
|
||||
{ "Info" "ISGN_START_ELABORATION_TOP" "valveboard_firmware " "Elaborating entity \"valveboard_firmware\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1653573990669 ""}
|
||||
{ "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 5 valveboard_firmware.v(90) " "Verilog HDL assignment warning at valveboard_firmware.v(90): truncated value with size 32 to match size of target (5)" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 90 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Analysis & Synthesis" 0 -1 1653573990684 "|valveboard_firmware"}
|
||||
{ "Info" "IFTM_FTM_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 290 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 266 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 145 -1 0 } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 93 -1 0 } } } 0 18000 "Registers with preset signals will power-up high" 0 0 "Analysis & Synthesis" 0 -1 1653573991184 ""}
|
||||
{ "Info" "ICUT_CUT_TM_SUMMARY" "569 " "Implemented 569 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "5 " "Implemented 5 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1653573991278 ""} { "Info" "ICUT_CUT_TM_OPINS" "96 " "Implemented 96 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1653573991278 ""} { "Info" "ICUT_CUT_TM_LCELLS" "468 " "Implemented 468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1653573991278 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1653573991278 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 2 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 2 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4709 " "Peak virtual memory: 4709 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:31 2022 " "Processing ended: Thu May 26 22:06:31 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:07 " "Elapsed time: 00:00:07" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573991325 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1653573991325 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1653573992481 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573992481 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:32 2022 " "Processing started: Thu May 26 22:06:32 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573992481 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1653573992481 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_fit --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1653573992481 ""}
|
||||
{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1653573992559 ""}
|
||||
{ "Info" "0" "" "Project = valveboard_firmware" { } { } 0 0 "Project = valveboard_firmware" 0 0 "Fitter" 0 0 1653573992559 ""}
|
||||
{ "Info" "0" "" "Revision = valveboard_firmware" { } { } 0 0 "Revision = valveboard_firmware" 0 0 "Fitter" 0 0 1653573992559 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1653573992591 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1653573992591 ""}
|
||||
{ "Info" "IMPP_MPP_USER_DEVICE" "valveboard_firmware EPM1270T144C5 " "Selected device EPM1270T144C5 for design \"valveboard_firmware\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1653573992591 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1653573992622 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1653573992622 ""}
|
||||
{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1653573992653 ""}
|
||||
{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1653573992653 ""}
|
||||
{ "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED" "" "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" { { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144C5 " "Device EPM570T144C5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144I5 " "Device EPM570T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM570T144A5 " "Device EPM570T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144I5 " "Device EPM1270T144I5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} { "Info" "IFSAC_FSAC_MIGRATION_NOT_SELECTED_SUB" "EPM1270T144A5 " "Device EPM1270T144A5 is compatible" { } { } 2 176445 "Device %1!s! is compatible" 0 0 "Design Software" 0 -1 1653573992731 ""} } { } 2 176444 "Device migration not selected. If you intend to use device migration later, you may need to change the pin assignments as they may be incompatible with other devices" 0 0 "Fitter" 0 -1 1653573992731 ""}
|
||||
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1653573992809 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1653573992809 ""}
|
||||
{ "Info" "ISTA_DEFAULT_TDC_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" { { "Info" "ISTA_ASSUMED_DEFAULT_TDC_REQUIREMENT" "" "Assuming a default timing requirement" { } { } 0 332127 "Assuming a default timing requirement" 0 0 "Design Software" 0 -1 1653573992809 ""} } { } 0 332128 "Timing requirements not specified -- optimizing circuit to achieve the following default global requirements" 0 0 "Fitter" 0 -1 1653573992809 ""}
|
||||
{ "Info" "ISTA_REPORT_CLOCKS_INFO" "Found 1 clocks " "Found 1 clocks" { { "Info" "ISTA_REPORT_CLOCKS_INFO" " Period Clock Name " " Period Clock Name" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" "======== ============ " "======== ============" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} { "Info" "ISTA_REPORT_CLOCKS_INFO" " 1.000 sys_clk " " 1.000 sys_clk" { } { } 0 332111 "%1!s!" 0 0 "Design Software" 0 -1 1653573992809 ""} } { } 0 332111 "%1!s!" 0 0 "Fitter" 0 -1 1653573992809 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_REG_LOCATION_PROCESSING" "" "Performing register packing on registers with non-logic cell location assignments" { } { } 1 176273 "Performing register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1653573992825 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_REG_LOCATION_PROCESSING" "" "Completed register packing on registers with non-logic cell location assignments" { } { } 1 176274 "Completed register packing on registers with non-logic cell location assignments" 1 0 "Fitter" 0 -1 1653573992825 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "User Assigned Global Signals Promotion Operation " "Completed User Assigned Global Signals Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1653573992825 ""}
|
||||
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_ALL_TO_GLOBAL" "sys_clk Global clock in PIN 18 " "Automatically promoted signal \"sys_clk\" to use Global clock in PIN 18" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 9 -1 0 } } } 0 186215 "Automatically promoted signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1653573992841 ""}
|
||||
{ "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL" "rst_n Global clock " "Automatically promoted some destinations of signal \"rst_n\" to use Global clock" { { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "negedge_line_sen " "Destination \"negedge_line_sen\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 106 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1653573992841 ""} { "Info" "IFYGR_FYGR_AUTO_GLOBAL_ASSIGNED_SOME_TO_GLOBAL_SUB" "fiter_line_sdata " "Destination \"fiter_line_sdata\" may be non-global or may not use global clock" { } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 87 -1 0 } } } 0 186217 "Destination \"%1!s!\" may be non-global or may not use global clock" 0 0 "Design Software" 0 -1 1653573992841 ""} } { { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 10 -1 0 } } } 0 186216 "Automatically promoted some destinations of signal \"%1!s!\" to use %2!s!" 0 0 "Fitter" 0 -1 1653573992841 ""}
|
||||
{ "Info" "IFYGR_FYGR_PIN_USES_INTERNAL_GLOBAL" "rst_n " "Pin \"rst_n\" drives global clock, but is not placed in a dedicated clock pin position" { } { { "c:/programdata/intelfpga_lite/20.1/quartus/bin64/pin_planner.ppl" "" { PinPlanner "c:/programdata/intelfpga_lite/20.1/quartus/bin64/pin_planner.ppl" { rst_n } } } { "c:/programdata/intelfpga_lite/20.1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/programdata/intelfpga_lite/20.1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "rst_n" } } } } { "valveboard_firmware.v" "" { Text "C:/Users/miaow/Desktop/firmware/valveboard_firmware.v" 10 -1 0 } } { "temporary_test_loc" "" { Generic "C:/Users/miaow/Desktop/firmware/" { { 0 { 0 ""} 0 655 14177 15141 0 0 "" 0 "" "" } } } } } 0 186228 "Pin \"%1!s!\" drives global clock, but is not placed in a dedicated clock pin position" 0 0 "Fitter" 0 -1 1653573992841 ""}
|
||||
{ "Info" "IFYGR_FYGR_OPINFO_COMPLETED_OP" "Auto Global Promotion Operation " "Completed Auto Global Promotion Operation" { } { } 0 186079 "Completed %1!s!" 0 0 "Fitter" 0 -1 1653573992841 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_FYGR_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176234 "Starting register packing" 0 0 "Fitter" 0 -1 1653573992841 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_START_LUT_PACKING" "" "Moving registers into LUTs to improve timing and density" { } { } 1 176244 "Moving registers into LUTs to improve timing and density" 1 0 "Fitter" 0 -1 1653573992872 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_HEADER" "" "Started processing fast register assignments" { } { } 0 186468 "Started processing fast register assignments" 0 0 "Fitter" 0 -1 1653573992919 ""}
|
||||
{ "Info" "IFYGR_FYGR_NO_REGS_IN_IOS_FOOTER" "" "Finished processing fast register assignments" { } { } 0 186469 "Finished processing fast register assignments" 0 0 "Fitter" 0 -1 1653573992919 ""}
|
||||
{ "Extra Info" "IFSAC_FSAC_FINISH_LUT_PACKING" "00:00:00 " "Finished moving registers into LUTs: elapsed time is 00:00:00" { } { } 1 176245 "Finished moving registers into LUTs: elapsed time is %1!s!" 1 0 "Fitter" 0 -1 1653573992919 ""}
|
||||
{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1653573992919 ""}
|
||||
{ "Info" "IFITCC_FITTER_PREPARATION_END" "00:00:00 " "Fitter preparation operations ending: elapsed time is 00:00:00" { } { } 0 171121 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573992966 ""}
|
||||
{ "Info" "IVPR20K_VPR_FAMILY_APL_ERROR" "" "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." { } { } 0 14896 "Fitter has disabled Advanced Physical Optimization because it is not supported for the current family." 0 0 "Fitter" 0 -1 1653573992966 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1653573993059 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:00 " "Fitter placement preparation operations ending: elapsed time is 00:00:00" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573993294 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1653573993294 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1653573994653 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:01 " "Fitter placement operations ending: elapsed time is 00:00:01" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573994653 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1653573994700 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "11 " "Router estimated average interconnect usage is 11% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "13 X0_Y0 X8_Y11 " "Router estimated peak interconnect usage is 13% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11" { } { { "loc" "" { Generic "C:/Users/miaow/Desktop/firmware/" { { 1 { 0 "Router estimated peak interconnect usage is 13% of the available device resources in the region that extends from location X0_Y0 to location X8_Y11"} { { 12 { 0 ""} 0 0 9 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1653573994919 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1653573994919 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1653573995200 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1653573995200 ""}
|
||||
{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:00 " "Fitter routing operations ending: elapsed time is 00:00:00" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573995200 ""}
|
||||
{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 0.47 " "Total time spent on timing analysis during the Fitter is 0.47 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1653573995216 ""}
|
||||
{ "Info" "IFITCC_FITTER_POST_OPERATION_END" "00:00:00 " "Fitter post-fit operations ending: elapsed time is 00:00:00" { } { } 0 11218 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1653573995216 ""}
|
||||
{ "Warning" "WFIOMGR_RESERVE_ASSIGNMENT_FOR_UNUSED_PINS_IS_DEFAULT" "As output driving ground " "The Reserve All Unused Pins setting has not been specified, and will default to 'As output driving ground'." { } { } 0 169174 "The Reserve All Unused Pins setting has not been specified, and will default to '%1!s!'." 0 0 "Fitter" 0 -1 1653573995263 ""}
|
||||
{ "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/miaow/Desktop/firmware/output_files/valveboard_firmware.fit.smsg " "Generated suppressed messages file C:/Users/miaow/Desktop/firmware/output_files/valveboard_firmware.fit.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Fitter" 0 -1 1653573995309 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "5910 " "Peak virtual memory: 5910 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:35 2022 " "Processing ended: Thu May 26 22:06:35 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573995325 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1653573995325 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1653573996325 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573996325 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:36 2022 " "Processing started: Thu May 26 22:06:36 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573996325 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1653573996325 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware " "Command: quartus_asm --read_settings_files=off --write_settings_files=off valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1653573996325 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1653573996513 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_POWER_DATA" "" "Writing out detailed assembly data for power analysis" { } { } 0 115031 "Writing out detailed assembly data for power analysis" 0 0 "Assembler" 0 -1 1653573996544 ""}
|
||||
{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1653573996544 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4671 " "Peak virtual memory: 4671 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:36 2022 " "Processing ended: Thu May 26 22:06:36 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573996653 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1653573996653 ""}
|
||||
{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1653573997231 ""}
|
||||
{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1653573997747 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition " "Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1653573997747 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu May 26 22:06:37 2022 " "Processing started: Thu May 26 22:06:37 2022" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1653573997747 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1653573997747 ""}
|
||||
{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta valveboard_firmware -c valveboard_firmware " "Command: quartus_sta valveboard_firmware -c valveboard_firmware" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1653573997747 ""}
|
||||
{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1653573997826 ""}
|
||||
{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1653573997919 ""}
|
||||
{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1653573997919 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573997951 ""}
|
||||
{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573997951 ""}
|
||||
{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1653573997997 ""}
|
||||
{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1653573998310 ""}
|
||||
{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "valveboard_firmware.sdc " "Synopsys Design Constraints File file not found: 'valveboard_firmware.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1653573998357 ""}
|
||||
{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998357 ""}
|
||||
{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name sys_clk sys_clk " "create_clock -period 1.000 -name sys_clk sys_clk" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1653573998357 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1653573998357 ""}
|
||||
{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1653573998357 ""}
|
||||
{ "Info" "0" "" "Can't run Report Timing Closure Recommendations. The current device family is not supported." { } { } 0 0 "Can't run Report Timing Closure Recommendations. The current device family is not supported." 0 0 "Timing Analyzer" 0 0 1653573998372 ""}
|
||||
{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1653573998372 ""}
|
||||
{ "Info" "ISTA_WORST_CASE_SLACK" "setup -10.920 " "Worst-case setup slack is -10.920" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -10.920 -2224.374 sys_clk " " -10.920 -2224.374 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998372 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998372 ""}
|
||||
{ "Info" "ISTA_WORST_CASE_SLACK" "hold 1.397 " "Worst-case hold slack is 1.397" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.397 0.000 sys_clk " " 1.397 0.000 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
|
||||
{ "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
|
||||
{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.289 " "Worst-case minimum pulse width slack is -2.289" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.289 -2.289 sys_clk " " -2.289 -2.289 sys_clk " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1653573998388 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1653573998388 ""}
|
||||
{ "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Timing Analyzer" 0 -1 1653573998403 ""}
|
||||
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1653573998419 ""}
|
||||
{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1653573998419 ""}
|
||||
{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 3 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4687 " "Peak virtual memory: 4687 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu May 26 22:06:38 2022 " "Processing ended: Thu May 26 22:06:38 2022" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1653573998451 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1653573998451 ""}
|
||||
{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 10 s " "Quartus Prime Full Compilation was successful. 0 errors, 10 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1653573999091 ""}
|
||||
@ -1,3 +1,3 @@
|
||||
Quartus_Version = Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition
|
||||
Version_Index = 520278016
|
||||
Creation_Time = Thu May 26 22:11:13 2022
|
||||
Creation_Time = Wed Aug 24 13:05:50 2022
|
||||
|
||||
@ -1,13 +0,0 @@
|
||||
/* Quartus Prime Version 20.1.1 Build 720 11/11/2020 SJ Lite Edition */
|
||||
JedecChain;
|
||||
FileRevision(JESD32A);
|
||||
DefaultMfr(6E);
|
||||
|
||||
P ActionCode(Cfg)
|
||||
Device PartName(EPM1270T144) Path("C:/Users/miaow/Desktop/firmware/output_files/") File("valveboard_firmware.pof") MfrSpec(OpMask(23) SEC_Device(EPM1270T144) Child_OpMask(2 7 7));
|
||||
|
||||
ChainEnd;
|
||||
|
||||
AlteraBegin;
|
||||
ChainType(JTAG);
|
||||
AlteraEnd;
|
||||
@ -1 +0,0 @@
|
||||
Thu May 26 22:10:32 2022
|
||||
@ -18,7 +18,7 @@ module tb_valveboard_firmware();
|
||||
.signal_high_voltage (signal_high_voltage),
|
||||
.signal_low_voltage (signal_low_voltage)
|
||||
);
|
||||
|
||||
reg [47:0] valve_data;
|
||||
initial begin
|
||||
sys_clk = 0;
|
||||
rst_n = 0;
|
||||
@ -28,27 +28,28 @@ module tb_valveboard_firmware();
|
||||
#500;
|
||||
rst_n = 1;
|
||||
#500;
|
||||
valve_data = 0;
|
||||
|
||||
end
|
||||
|
||||
integer idx;
|
||||
reg [47:0] valve_data;
|
||||
always #1000000 begin
|
||||
valve_data <= ~48'b1000_0000_0000_0000_0000_0000_0000_0001_0000_0000_0000_1001;
|
||||
line_sen = 1;#100;
|
||||
|
||||
always #500000 begin
|
||||
valve_data = valve_data + 1;
|
||||
line_sen = 1;#50;
|
||||
for (idx = 0; idx < 48; idx = idx + 1) begin
|
||||
if (valve_data[idx] == 0) begin
|
||||
line_sdata = 0;#250;
|
||||
line_sclk = 1;#250;
|
||||
line_sdata = 1;#250;
|
||||
line_sclk = 0;#500;
|
||||
line_sdata = 0;#125;
|
||||
line_sclk = 1;#125;
|
||||
line_sdata = 1;#125;
|
||||
line_sclk = 0;#250;
|
||||
end
|
||||
else begin
|
||||
line_sclk = 1;#500;
|
||||
line_sclk = 0;#500;
|
||||
line_sclk = 1;#250;
|
||||
line_sclk = 0;#250;
|
||||
end
|
||||
end
|
||||
#100;
|
||||
#50;
|
||||
line_sen = 0;
|
||||
end
|
||||
|
||||
|
||||
@ -252,4 +252,18 @@ set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[3
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[2]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[1]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to signal_low_voltage[0]
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sys_clk
|
||||
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to sys_clk
|
||||
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "NO HEAT SINK WITH STILL AIR"
|
||||
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
|
||||
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
|
||||
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
|
||||
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_timing
|
||||
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_symbol
|
||||
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_signal_integrity
|
||||
set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST OFF -section_id eda_board_design_boundary_scan
|
||||
set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS TEST_BENCH_MODE -section_id eda_simulation
|
||||
set_global_assignment -name EDA_NATIVELINK_SIMULATION_TEST_BENCH normal_test -section_id eda_simulation
|
||||
set_global_assignment -name EDA_TEST_BENCH_NAME normal_test -section_id eda_simulation
|
||||
set_global_assignment -name EDA_DESIGN_INSTANCE_NAME NA -section_id normal_test
|
||||
set_global_assignment -name EDA_TEST_BENCH_MODULE_NAME normal_test -section_id normal_test
|
||||
set_global_assignment -name EDA_TEST_BENCH_FILE tb_valveboard_firmware.v -section_id normal_test
|
||||
Binary file not shown.
@ -1,8 +1,8 @@
|
||||
/*
|
||||
丁坤的阀板程序 2021/12/26
|
||||
对应原理图ValveBoard Kun v1.1.pdf
|
||||
将b01-h1.1-p1.1-f1.1中的高压时间改为0.37ms
|
||||
使用的是合肥的阀,1A电流需0.37ms的100V(阀标称100V,现场供电为96V)高电压
|
||||
丁坤的阀板程序v1.3 2022/8/24
|
||||
对应b02-h1.3-p1.1-f1.3
|
||||
经测试,高压时间改为0.2ms
|
||||
使用的是合肥的阀,1.5A电流需0.2ms的100V(阀标称100V,现场供电为96V)高电压
|
||||
*/
|
||||
|
||||
module valveboard_firmware(
|
||||
@ -19,8 +19,8 @@ module valveboard_firmware(
|
||||
|
||||
parameter CHANNEL_NUM = 48;
|
||||
parameter CHANNEL_NUM_MINUS_1 = CHANNEL_NUM - 1;
|
||||
parameter HIGH_VOLTAGE_TIME = 32'd7400; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 0.37ms
|
||||
parameter HIGH_VOLTAGE_TIME_MINUS_1 = HIGH_VOLTAGE_TIME - 1; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 2ms
|
||||
parameter HIGH_VOLTAGE_TIME = 32'd4000; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 0.2ms
|
||||
parameter HIGH_VOLTAGE_TIME_MINUS_1 = HIGH_VOLTAGE_TIME - 1;
|
||||
parameter FAULT_COUNTER_THRESHOLD = 32'd20_000_000; // 通讯中断超过FAULT_COUNTER_THRESHOLD / 20MHz = 1s,就关所有阀
|
||||
parameter FAULT_COUNTER_THRESHOLD_MINUS_1 = FAULT_COUNTER_THRESHOLD - 1;
|
||||
parameter FAULT_COUNTER_THRESHOLD_PLUS_1 = FAULT_COUNTER_THRESHOLD + 1;
|
||||
@ -32,6 +32,7 @@ module valveboard_firmware(
|
||||
reg [31:0] fault_counter;
|
||||
reg [0:0] fault_flag [0:7]; // fault_flag支持8类错误信号
|
||||
|
||||
|
||||
/**
|
||||
* 维护错误信号
|
||||
*/
|
||||
@ -256,19 +257,24 @@ module valveboard_firmware(
|
||||
|
||||
/**
|
||||
* recv_complete下降沿缓存cache_line_sdata数据到cache2_line_sdata并开始高电压时间计时
|
||||
* last_line_data则保存上一次的输出数据
|
||||
*/
|
||||
reg [CHANNEL_NUM_MINUS_1:0] cache2_line_sdata;
|
||||
reg [CHANNEL_NUM_MINUS_1:0] last_line_sdata;
|
||||
always @(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
enable_count_high_voltage_time <= 0;
|
||||
cache2_line_sdata <= ~0;
|
||||
last_line_sdata <= ~0;
|
||||
end
|
||||
else if (total_fault_flag) begin
|
||||
enable_count_high_voltage_time <= 0;
|
||||
cache2_line_sdata <= ~0;
|
||||
last_line_sdata <= ~0;
|
||||
end
|
||||
else if (recv_complete) begin
|
||||
enable_count_high_voltage_time <= 1;
|
||||
last_line_sdata <= cache2_line_sdata;
|
||||
cache2_line_sdata <= cache_line_sdata;
|
||||
end
|
||||
else begin
|
||||
@ -276,9 +282,10 @@ module valveboard_firmware(
|
||||
end
|
||||
|
||||
end
|
||||
|
||||
|
||||
/**
|
||||
* 高电压时间内(is_high_voltage_time高电平时),按cache2_line_sdata打开所需高电压;高电压时间后关闭
|
||||
* 需要注意的是,已经开着的喷阀, 在高压时间内,不会再次使用高电压,只是保持低电压
|
||||
* 按cache2_line_sdata打开低电压
|
||||
* total_fault_flag会关闭所有喷阀
|
||||
*/
|
||||
@ -292,7 +299,8 @@ module valveboard_firmware(
|
||||
signal_high_voltage <= ~0;
|
||||
end
|
||||
else if (is_high_voltage_time) begin
|
||||
signal_high_voltage <= cache2_line_sdata;
|
||||
// 已经开着的喷阀,在高压时间内,不会再次使用高电压,只是保持低电压
|
||||
signal_high_voltage <= ~last_line_sdata | cache2_line_sdata;
|
||||
signal_low_voltage <= cache2_line_sdata;
|
||||
end
|
||||
else begin
|
||||
|
||||
@ -1,303 +0,0 @@
|
||||
/*
|
||||
丁坤的阀板程序 2021/11/02
|
||||
对应原理图ValveBoard Kun v1.1.pdf
|
||||
*/
|
||||
|
||||
module PF1(
|
||||
input sys_clk, // 20MHz
|
||||
input rst_n,
|
||||
input line_sclk,
|
||||
input line_sen,
|
||||
input line_sdata,
|
||||
|
||||
output reg [47:0] signal_high_voltage,
|
||||
output reg [47:0] signal_low_voltage
|
||||
|
||||
);
|
||||
|
||||
parameter CHANNEL_NUM = 48;
|
||||
parameter CHANNEL_NUM_MINUS_1 = CHANNEL_NUM - 1;
|
||||
parameter HIGH_VOLTAGE_TIME = 32'd40_000; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 2ms
|
||||
parameter HIGH_VOLTAGE_TIME_MINUS_1 = HIGH_VOLTAGE_TIME - 1; // 高压时间HIGH_VOLTAGE_TIME / 20MHz = 2ms
|
||||
parameter FAULT_COUNTER_THRESHOLD = 32'd20_000_000; // 通讯中断超过FAULT_COUNTER_THRESHOLD / 20MHz = 1s,就关所有阀
|
||||
parameter FAULT_COUNTER_THRESHOLD_MINUS_1 = FAULT_COUNTER_THRESHOLD - 1;
|
||||
parameter FAULT_COUNTER_THRESHOLD_PLUS_1 = FAULT_COUNTER_THRESHOLD + 1;
|
||||
|
||||
|
||||
|
||||
reg [CHANNEL_NUM_MINUS_1:0] cache_signal_high_voltage;
|
||||
reg [31:0] i;
|
||||
reg [31:0] fault_counter;
|
||||
reg [0:0] fault_flag [0:7]; // fault_flag支持8类错误信号
|
||||
|
||||
/**
|
||||
* 维护错误信号
|
||||
*/
|
||||
wire total_fault_flag = fault_flag[7] | fault_flag[6] | fault_flag[5] | fault_flag[4] | fault_flag[3] | fault_flag[2] | fault_flag[1] | fault_flag[0];
|
||||
integer j;
|
||||
initial begin
|
||||
for (j = 0; j < 8; j = j + 1) begin
|
||||
fault_flag[j] = 1'b0;
|
||||
end
|
||||
end
|
||||
// /**
|
||||
// * 产生周期为100kHz的posedge_100khz信号,信号高电平持续1个sys_clk
|
||||
// */
|
||||
// reg[7:0] cnt_for_posedge_100khz;
|
||||
// reg posedge_100khz;
|
||||
// always @(posedge sys_clk or negedge rst_n) begin
|
||||
// if(!rst_n) begin
|
||||
// cnt_for_posedge_100khz <= 0;
|
||||
// end
|
||||
// else if(cnt_for_posedge_100khz == 199) begin
|
||||
// posedge_100khz <= 1;
|
||||
// cnt_for_posedge_100khz <= 0;
|
||||
// end
|
||||
// else begin
|
||||
// cnt_for_posedge_100khz <= cnt_for_posedge_100khz + 1;
|
||||
// posedge_100khz <= 0;
|
||||
// end
|
||||
// end
|
||||
|
||||
/**
|
||||
* 在输入的line_sclk信号上升沿产生1个sys_clk时长高电平的脉冲信号posedge_line_sclk,比原信号延迟(4,5]个sys_clk
|
||||
*/
|
||||
reg [4:0] cache_line_sclk;
|
||||
reg posedge_line_sclk;
|
||||
always@(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
cache_line_sclk <= 0;
|
||||
posedge_line_sclk <= 0;
|
||||
end
|
||||
else begin
|
||||
cache_line_sclk <= {cache_line_sclk[3:0], line_sclk};
|
||||
if ({cache_line_sclk, line_sclk} == 6'b011111)
|
||||
posedge_line_sclk <= 1;
|
||||
else
|
||||
posedge_line_sclk <= 0;
|
||||
end
|
||||
end
|
||||
|
||||
/**
|
||||
* filter_line_sdata比原信号延迟(4,5]个sys_clk
|
||||
*/
|
||||
reg [4:0] tmp_cache_line_sdata;
|
||||
reg fiter_line_sdata;
|
||||
always@(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
tmp_cache_line_sdata <= ~0;
|
||||
end
|
||||
else begin
|
||||
tmp_cache_line_sdata <= {tmp_cache_line_sdata[3:0], line_sdata};
|
||||
fiter_line_sdata <= tmp_cache_line_sdata[4];
|
||||
end
|
||||
end
|
||||
|
||||
/**
|
||||
* 在输入的line_sen信号上升沿产生1个sys_clk时长高电平的脉冲信号posedge_line_sen,比原信号延迟(4,5]个sys_clk
|
||||
* 在输入的line_sen信号下降沿产生1个sys_clk时长高电平的脉冲信号negedge_line_sen,比原信号延迟(4,5]个sys_clk
|
||||
* 缓存和整理line_sen信号得filter_line_sen,比原信号延迟(4,5]个sys_clk
|
||||
*/
|
||||
reg [4:0] cache_line_sen;
|
||||
// reg posedge_line_sen;
|
||||
reg filter_line_sen;
|
||||
reg negedge_line_sen;
|
||||
always@(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
cache_line_sen <= 0;
|
||||
filter_line_sen <= 0;
|
||||
// posedge_line_sen <= 0;
|
||||
end
|
||||
else begin
|
||||
cache_line_sen <= {cache_line_sen[3:0], line_sen};
|
||||
if ({cache_line_sen, line_sen} == 6'b011111) begin
|
||||
// posedge_line_sen <= 1;
|
||||
filter_line_sen <= 1;
|
||||
negedge_line_sen <= 0;
|
||||
end
|
||||
else if ({cache_line_sen, line_sen} == 6'b100000) begin
|
||||
// posedge_line_sen <= 0;
|
||||
filter_line_sen <= 0;
|
||||
negedge_line_sen <= 1;
|
||||
end
|
||||
else begin
|
||||
// posedge_line_sen <= 0;
|
||||
filter_line_sen <= filter_line_sen;
|
||||
negedge_line_sen <= 0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
/**
|
||||
* line_clk上升沿采样line_sdata,采样时刻与posedge_line_sclk下降沿对齐
|
||||
* total_fault_flag会相对line_clk异步结束本次通信
|
||||
* recv_complete指示是否接收完成,单sys_clk周期宽度,与negedge_line_sen信号对齐
|
||||
*/
|
||||
reg [CHANNEL_NUM_MINUS_1:0] cache_line_sdata;
|
||||
wire recv_complete = negedge_line_sen && (i == CHANNEL_NUM);
|
||||
always @ (posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
i <= 0;
|
||||
cache_line_sdata <= ~0;
|
||||
end
|
||||
else if (total_fault_flag) begin
|
||||
i <= 0;
|
||||
cache_line_sdata <= ~0;
|
||||
end
|
||||
else if (filter_line_sen && posedge_line_sclk) begin
|
||||
cache_line_sdata[i] <= fiter_line_sdata;
|
||||
i <= i + 1;
|
||||
end
|
||||
else if (negedge_line_sen) begin
|
||||
i <= 0;
|
||||
end
|
||||
end
|
||||
|
||||
/**
|
||||
* 若接收超过CHANNEL_NUM个数据,产生错误信号fault_flag[0];fault_flag[0]将在posedge_line_sen上升沿时刻清楚
|
||||
*/
|
||||
always @ (posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n)
|
||||
fault_flag[0] <= 0;
|
||||
else if (i > CHANNEL_NUM)
|
||||
fault_flag[0] <= 1;
|
||||
else if ({cache_line_sen, line_sen} == 6'b011111)
|
||||
fault_flag[0] <= 0;
|
||||
else
|
||||
fault_flag[0] <= fault_flag[0];
|
||||
end
|
||||
|
||||
/**
|
||||
* 若通讯中断,超过FAULT_COUNTER_THRESHOLD个csys_clk就置位fault_flag[1]
|
||||
* fault_flag[1]在posedge_line_sclk上升沿时刻清楚
|
||||
*/
|
||||
always @(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
fault_counter <= 0;
|
||||
fault_flag[1] <= 0;
|
||||
end
|
||||
else if ({cache_line_sclk, line_sclk} == 6'b011111) begin
|
||||
fault_counter <= 0;
|
||||
fault_flag[1] <= 0;
|
||||
end
|
||||
else begin
|
||||
if (fault_counter >= FAULT_COUNTER_THRESHOLD_PLUS_1)
|
||||
fault_flag[1] <= 1;
|
||||
else if (fault_counter >= FAULT_COUNTER_THRESHOLD_MINUS_1) begin
|
||||
fault_counter <= fault_counter + 1;
|
||||
fault_flag[1] <= 1;
|
||||
end
|
||||
else begin
|
||||
fault_counter <= fault_counter + 1;
|
||||
fault_flag[1] <= 0;
|
||||
end
|
||||
end
|
||||
end
|
||||
|
||||
|
||||
/**
|
||||
* 得到enable_count_high_voltage_time的上升沿脉冲posedge_enable_count_high_voltage_time
|
||||
* enable_count_high_voltage_time是用于开启高电压计时的信号,在其上升沿开启计时
|
||||
*/
|
||||
reg [1:0] cache_enable_count_high_voltage_time;
|
||||
reg enable_count_high_voltage_time;
|
||||
wire posedge_enable_count_high_voltage_time = cache_enable_count_high_voltage_time[0] & ~cache_enable_count_high_voltage_time[1];
|
||||
always @(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n)
|
||||
cache_enable_count_high_voltage_time <= 0;
|
||||
else begin
|
||||
cache_enable_count_high_voltage_time[0] <= enable_count_high_voltage_time;
|
||||
cache_enable_count_high_voltage_time[1] <= cache_enable_count_high_voltage_time[0];
|
||||
end
|
||||
end
|
||||
|
||||
/**
|
||||
* posedge_enable_count_high_voltage_time下降沿开始从HIGH_VOLTAGE_TIME-1向下计数,count_high_voltage_time_end上升沿与到0瞬间对齐
|
||||
* is_high_voltage_time表示当前是否需要输出高电平,其宽度为HIGH_VOLTAGE_TIME
|
||||
* posedge_count_high_voltage_time_complete脉冲时长为一个sys_clk
|
||||
*/
|
||||
reg [31:0] cnt_for_high_voltage_time;
|
||||
// reg high_voltage_time_end;
|
||||
reg is_high_voltage_time;
|
||||
always @(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
cnt_for_high_voltage_time <= 0;
|
||||
// high_voltage_time_end <= 0;
|
||||
is_high_voltage_time <= 0;
|
||||
end
|
||||
else if (total_fault_flag) begin
|
||||
cnt_for_high_voltage_time <= 0;
|
||||
// high_voltage_time_end <= 0;
|
||||
is_high_voltage_time <= 0;
|
||||
end
|
||||
else if (posedge_enable_count_high_voltage_time) begin
|
||||
cnt_for_high_voltage_time <= HIGH_VOLTAGE_TIME_MINUS_1;
|
||||
// high_voltage_time_end <= 0;
|
||||
is_high_voltage_time <= 1;
|
||||
end
|
||||
else if (cnt_for_high_voltage_time > 1) begin
|
||||
cnt_for_high_voltage_time <= cnt_for_high_voltage_time - 1;
|
||||
// high_voltage_time_end <= 0;
|
||||
is_high_voltage_time <= 1;
|
||||
end
|
||||
else if (cnt_for_high_voltage_time == 1) begin
|
||||
cnt_for_high_voltage_time <= cnt_for_high_voltage_time - 1;
|
||||
// high_voltage_time_end <= 1;
|
||||
is_high_voltage_time <= 1;
|
||||
end
|
||||
else begin
|
||||
// high_voltage_time_end <= 0;
|
||||
is_high_voltage_time <= 0;
|
||||
end
|
||||
|
||||
end
|
||||
|
||||
/**
|
||||
* recv_complete下降沿缓存cache_line_sdata数据到cache2_line_sdata并开始高电压时间计时
|
||||
*/
|
||||
reg [CHANNEL_NUM_MINUS_1:0] cache2_line_sdata;
|
||||
always @(posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
enable_count_high_voltage_time <= 0;
|
||||
cache2_line_sdata <= ~0;
|
||||
end
|
||||
else if (total_fault_flag) begin
|
||||
enable_count_high_voltage_time <= 0;
|
||||
cache2_line_sdata <= ~0;
|
||||
end
|
||||
else if (recv_complete) begin
|
||||
enable_count_high_voltage_time <= 1;
|
||||
cache2_line_sdata <= cache_line_sdata;
|
||||
end
|
||||
else begin
|
||||
enable_count_high_voltage_time <= 0;
|
||||
end
|
||||
|
||||
end
|
||||
|
||||
/**
|
||||
* 高电压时间内(is_high_voltage_time高电平时),按cache2_line_sdata打开所需高电压;高电压时间后关闭
|
||||
* 按cache2_line_sdata打开低电压
|
||||
* total_fault_flag会关闭所有喷阀
|
||||
*/
|
||||
always @ (posedge sys_clk or negedge rst_n) begin
|
||||
if (!rst_n) begin
|
||||
signal_low_voltage <= ~0;
|
||||
signal_high_voltage <= ~0;
|
||||
end
|
||||
else if (total_fault_flag) begin
|
||||
signal_low_voltage <= ~0;
|
||||
signal_high_voltage <= ~0;
|
||||
end
|
||||
else if (is_high_voltage_time) begin
|
||||
signal_high_voltage <= cache2_line_sdata;
|
||||
signal_low_voltage <= cache2_line_sdata;
|
||||
end
|
||||
else begin
|
||||
signal_high_voltage <= ~0;
|
||||
signal_low_voltage <= cache2_line_sdata;
|
||||
end
|
||||
end
|
||||
|
||||
endmodule
|
||||
|
||||
Binary file not shown.
|
Before Width: | Height: | Size: 29 KiB |
Binary file not shown.
|
Before Width: | Height: | Size: 1.9 MiB |
@ -1,17 +1,11 @@
|
||||
# 阀板硬件
|
||||
|
||||
相对于以前v1.1版本,终于,**改成超六类屏蔽网线通信了**,这是为了改善通信质量,并没有用网络相关的协议
|
||||
|
||||
这个文件夹下是丁坤画的48路阀板的原理图和PCB,用于烟梗分选机。整个机器用了六块48路阀板,驱动200路喷嘴,阀的型号是合肥旭伟电子气动有限公司的XW-F16,这个阀一个可以连接并控制16个喷嘴。
|
||||
这个文件夹下是丁坤画的48路阀板的原理图和PCB,用于烟梗分选机。整个机器用了六块48路阀板,驱动256路喷嘴,阀的型号是合肥旭伟电子气动有限公司的XW-F16,这个阀一个可以连接并控制16个喷嘴。每个阀的电阻是14欧姆,高压启动时峰值电流约1.5A,低压保持电流约0.8A。
|
||||
|
||||

|
||||
|
||||
**下面的说明仅针对丁坤画的阀板v1.2,丁坤的阀板简化了供电,添加了不同的接口,但总体思路是一样的**,此外丁坤和汪学良的阀板引脚分配并不相同,具体见阀板程序`../firmware`。
|
||||
|
||||
## 概述
|
||||
|
||||
**汪学良的板子供电数量和标号与下面所述的丁坤阀板v1.2稍有不同,非粗体字体是对丁坤板子的说明,请留意。**
|
||||
|
||||
48个电磁阀连接在顶部的12个接口上,当接口上有电压时电流流过电磁阀,电磁阀打开。由于电磁阀工作需要大电流大电压且为感性器件,容易影响发出控制信号的CPLD芯片,因此需要独立且隔离的电源,这个电源标为`LOW`,参考为`PGND`。为加快电磁阀开启,在开启瞬间会输出一个高电压,这是另一个独立的电源,标为`HIGH`,参考也为`PGND`。这个高电压会在电磁阀开启后被断开,随后施加标号为`LOW`的保持电压,用来保持电磁阀的打开状态。需要电磁阀关闭则切断保持电压。
|
||||
|
||||
上面的过程由光耦隔离驱动端MOS和控制芯片CPLD,提供给光耦输出端的电压标号为`+12V`,参考为`PGND`。
|
||||
@ -31,31 +25,13 @@ CPLD为控制芯片,接收外部信号并将控制信号输出到板上的光
|
||||
| DGND | 0V |
|
||||
| +12V | 12V |
|
||||
|
||||
**本次所用的阀板加速开启用的高电压为直流100V、保持用的低电压为直流12V,另有光耦、CPLD等的弱电供电。**
|
||||
|
||||
下面是阀板正面视图。
|
||||
|
||||

|
||||
|
||||
## 通信接口
|
||||
|
||||
**下面非粗体字体是丁坤的阀板v1.2说明,请注意**
|
||||
|
||||
|
||||
|
||||

|
||||
|
||||
`LVDS`的接口的信号是遵循通信协议的LVDS信号,注意虽然看起来像网口,实则为LVDS信号,具体引脚定义自行看原理图。
|
||||
|
||||
## 版号
|
||||
|
||||
**下面非粗体字体是丁坤的阀板v1.2说明,需要注意的是,版号没有意义,CPLD中程序目前不识别**
|
||||
本次所用的阀板加速开启用的高电压为直流100V、保持用的低电压为直流12V
|
||||
|
||||
## 调试
|
||||
|
||||
**版号**
|
||||
|
||||
在`MB VER.`丝印标志的区域有`S1`、`S2`、`S3`、`S4`标注的0欧电阻焊接位,按`0`、`1`标注焊接即可,注意0和1不能都焊。这个设置是为阀板级联做的冗余。
|
||||
在`INFO`丝印标志的区域有`S1`、`S2`、`S3`、`S4`标注的0欧电阻焊接位,按`0`、`1`标注焊接即可,注意0和1不能都焊。这个设置是为阀板级联做的冗余。
|
||||
|
||||
**烧录**
|
||||
|
||||
@ -63,22 +39,50 @@ CPLD烧录口为简牛口,用USB Blaster烧录的,开发软件为Quartus。
|
||||
|
||||
**观察开关量**
|
||||
|
||||
在正面那排光耦前有LED焊接位可以焊接上LED,打开的阀门那一路对应的LED亮,当然注意重新计算和焊接所需的串联限流电阻
|
||||
在正面那排光耦前有LED,打开的阀门那一路对应的LED亮。选用LED和串联限流电阻时,查看[issue#2](https://github.com/NanjingForestryUniversity/valveboard/issues/2)
|
||||
|
||||
**电源**
|
||||
|
||||
数字电源输入为12V,在板子上转换为3.3V,网络标号+3.3V,为防止电磁阀上电瞬间直接误动作,因此给光耦电源加入RC延时电路,输出标号为+3.3VGG,按设计延时约500ms,这个时间远大于CPLD初始化开始工作的时间,因此电磁阀上电瞬间不会误动作。
|
||||
|
||||
数字电源输入附近`3.3V`的LED亮不代表真的就是3.3V,也许是12V直接短路到了网络上。右上角电源接口附近的灯指示输入的电压。另外板子上有大量保险丝,包括右上角附近的两个黑色壳子,里面打开也是保险丝。
|
||||
数字电源输入为12V,在板子上转换为3.3V,网络标号+3.3V,为防止电磁阀上电瞬间直接误动作,因此给光耦电源加入RC延时电路,输出标号为+3.3VGG,按设计延时约500ms,这个时间远大于CPLD初始化开始工作的时间,因此电磁阀上电瞬间不会误动作。此外还添加了防反接保护,查看[issue#3](https://github.com/NanjingForestryUniversity/valveboard/issues/3)
|
||||
|
||||
## 生产制造
|
||||
|
||||
所需器件BOM里都有,板子开窗部分要加锡,钢网上已经体现了
|
||||
所需器件BOM里都有,板子开窗部分要加锡,钢网上已经体现了。测试板子是嘉立创做的,SMT也是嘉立创,直插元件和芯片需手焊
|
||||
|
||||
测试板子是嘉立创做的,SMT也是嘉立创,直插元件和芯片需手焊
|
||||
|
||||
## 其他信息
|
||||
|
||||
板子上标有CE、FC、WEEE等认证,这些都是随手放上去的,完全没有进行过这些认证。如果要了解板子工作原理,自行看原理图,原理图内的标号命名来自于老阀板,很让人不知所措,要有耐心才能看下去
|
||||
## Changelog
|
||||
|
||||
丁坤2019年9月入学、丁坤QQ1091546069、丁坤电话17761700156,他刚入学时就被师兄叫去焊接汪学良的阀板,后来接替师兄做了这个仓库里的阀板,无论有没有毕业,都很乐意解答关于板子的所有问题
|
||||
|
||||
### v1.0
|
||||
|
||||
绿色的阀板,测试版本,继承于远古的原理图和布局
|
||||
|
||||
### v1.1
|
||||
|
||||
蓝色的阀板,丁坤画,具有ISO、LVDS、TTL接口,见[b01-h1.1-p1.1-f1.1](https://github.com/NanjingForestryUniversity/valveboard/releases/tag/b01-h1.1-p1.1-f1.1)
|
||||
|
||||
### v1.2
|
||||
|
||||
黄色的阀板,见 [b02-h1.2-p1.1-f1.2](https://github.com/NanjingForestryUniversity/valveboard/releases/tag/b02-h1.2-p1.1-f1.2)
|
||||
|
||||
- 采用带屏蔽的超六类RJ45端口代替原来的牛角座,增强了通信的稳定性
|
||||
- 删除了ISO(隔离)端口
|
||||
- 删除了LVDS的BYPASS端口
|
||||
- 删除了TTL端口
|
||||
- 修改电磁喷阀的接口为更容易买到的器件,[器件详情](https://detail.tmall.com/item.htm?spm=a230r.1.14.52.2b5b4e50D2a4NS&id=633917290163&ns=1&abbucket=5&skuId=4696862330457)
|
||||
- 增加了光隔数字部分电源的延迟上电电路,避免启动瞬间喷阀动作
|
||||
- 修改了1N4148的封装为SOD-123,方便SMT
|
||||
- 略微优化了板子尺寸,调整了安装孔位置和个数
|
||||
|
||||
### v1.3
|
||||
|
||||
绿色的阀板,见[b02-h1.3-p1.1-f1.3](https://github.com/NanjingForestryUniversity/valveboard/releases/tag/b02-h1.3-p1.1-f1.3)
|
||||
|
||||
- 重画了原理图和PCB,PCB尺寸减小
|
||||
- 增大阻容器件封装,解决100V耐压风险,[issue#1](https://github.com/NanjingForestryUniversity/valveboard/issues/1)
|
||||
- LED改为黄绿色,[issue#2](https://github.com/NanjingForestryUniversity/valveboard/issues/2)
|
||||
- 添加了数字电源防反接,[issue#3](https://github.com/NanjingForestryUniversity/valveboard/issues/3)
|
||||
- 取消了所有保险丝
|
||||
- 增加了每一路的标识丝印,南林的徽标
|
||||
- 重新排列了阀的连接器,方便插拔
|
||||
- 有需要的线路上增加了开窗,提高载流能力
|
||||
|
||||
Binary file not shown.
Binary file not shown.
Binary file not shown.
Binary file not shown.
@ -1,973 +0,0 @@
|
||||
Designator,Footprint,Layer,Center-X(mm),Center-Y(mm),Ref-X(mm),Ref-Y(mm),Pad-X(mm),Pad-Y(mm),Rotation
|
||||
C1,C0402,TopLayer,167.2590mm,90.8050mm,167.2590mm,90.8050mm,167.2590mm,90.4050mm,90
|
||||
C10,C0402,BottomLayer,172.2870mm,96.2570mm,172.2870mm,96.2570mm,172.2870mm,95.8570mm,90
|
||||
C11,C0402,BottomLayer,179.5870mm,102.5570mm,179.5870mm,102.5570mm,179.9870mm,102.5570mm,180
|
||||
C12,C0402,BottomLayer,179.5870mm,107.5570mm,179.5870mm,107.5570mm,179.9870mm,107.5570mm,180
|
||||
C13,C0402,BottomLayer,158.4870mm,112.0570mm,158.4870mm,112.0570mm,158.0870mm,112.0570mm,0
|
||||
C14,C0402,BottomLayer,179.5870mm,111.5570mm,179.5870mm,111.5570mm,179.9870mm,111.5570mm,180
|
||||
C15_PostIsolation1_Isolation1,C0603,TopLayer,279.5825mm,139.0141mm,279.5825mm,139.0141mm,279.5825mm,139.7140mm,270
|
||||
C15_PostIsolation10_Isolation10,C0603,TopLayer,82.9724mm,139.0140mm,82.9724mm,139.0140mm,82.9724mm,139.7140mm,270
|
||||
C15_PostIsolation11_Isolation11,C0603,TopLayer,61.1268mm,139.0141mm,61.1268mm,139.0141mm,61.1268mm,139.7141mm,270
|
||||
C15_PostIsolation12_Isolation12,C0603,TopLayer,39.2813mm,139.0141mm,39.2813mm,139.0141mm,39.2813mm,139.7141mm,270
|
||||
C15_PostIsolation2_Isolation2,C0603,TopLayer,257.7353mm,139.0145mm,257.7353mm,139.0145mm,257.7353mm,139.7145mm,270
|
||||
C15_PostIsolation3_Isolation3,C0603,TopLayer,235.8898mm,139.0145mm,235.8898mm,139.0145mm,235.8898mm,139.7145mm,270
|
||||
C15_PostIsolation4_Isolation4,C0603,TopLayer,214.0456mm,139.0254mm,214.0456mm,139.0254mm,214.0456mm,139.7254mm,270
|
||||
C15_PostIsolation5_Isolation5,C0603,TopLayer,192.2001mm,139.0141mm,192.2001mm,139.0141mm,192.2001mm,139.7140mm,270
|
||||
C15_PostIsolation6_Isolation6,C0603,TopLayer,170.3546mm,139.0141mm,170.3546mm,139.0141mm,170.3546mm,139.7140mm,270
|
||||
C15_PostIsolation7_Isolation7,C0603,TopLayer,148.5090mm,139.0141mm,148.5090mm,139.0141mm,148.5090mm,139.7140mm,270
|
||||
C15_PostIsolation8_Isolation8,C0603,TopLayer,126.6635mm,139.0141mm,126.6635mm,139.0141mm,126.6635mm,139.7140mm,270
|
||||
C15_PostIsolation9_Isolation9,C0603,TopLayer,104.8179mm,139.0140mm,104.8179mm,139.0140mm,104.8179mm,139.7140mm,270
|
||||
C16_PostIsolation1_Isolation1,C0603,TopLayer,282.1225mm,139.0141mm,282.1225mm,139.0141mm,282.1225mm,139.7140mm,270
|
||||
C16_PostIsolation10_Isolation10,C0603,TopLayer,85.5124mm,139.0140mm,85.5124mm,139.0140mm,85.5124mm,139.7140mm,270
|
||||
C16_PostIsolation11_Isolation11,C0603,TopLayer,63.6668mm,139.0141mm,63.6668mm,139.0141mm,63.6668mm,139.7141mm,270
|
||||
C16_PostIsolation12_Isolation12,C0603,TopLayer,41.8213mm,139.0141mm,41.8213mm,139.0141mm,41.8213mm,139.7141mm,270
|
||||
C16_PostIsolation2_Isolation2,C0603,TopLayer,260.2753mm,139.0145mm,260.2753mm,139.0145mm,260.2753mm,139.7145mm,270
|
||||
C16_PostIsolation3_Isolation3,C0603,TopLayer,238.4298mm,139.0145mm,238.4298mm,139.0145mm,238.4298mm,139.7145mm,270
|
||||
C16_PostIsolation4_Isolation4,C0603,TopLayer,216.5856mm,139.0254mm,216.5856mm,139.0254mm,216.5856mm,139.7254mm,270
|
||||
C16_PostIsolation5_Isolation5,C0603,TopLayer,194.7401mm,139.0141mm,194.7401mm,139.0141mm,194.7401mm,139.7140mm,270
|
||||
C16_PostIsolation6_Isolation6,C0603,TopLayer,172.8946mm,139.0141mm,172.8946mm,139.0141mm,172.8946mm,139.7140mm,270
|
||||
C16_PostIsolation7_Isolation7,C0603,TopLayer,151.0490mm,139.0141mm,151.0490mm,139.0141mm,151.0490mm,139.7140mm,270
|
||||
C16_PostIsolation8_Isolation8,C0603,TopLayer,129.2035mm,139.0141mm,129.2035mm,139.0141mm,129.2035mm,139.7140mm,270
|
||||
C16_PostIsolation9_Isolation9,C0603,TopLayer,107.3579mm,139.0140mm,107.3579mm,139.0140mm,107.3579mm,139.7140mm,270
|
||||
C17_PostIsolation1_Isolation1,C0603,TopLayer,274.5025mm,139.0141mm,274.5025mm,139.0141mm,274.5025mm,139.7140mm,270
|
||||
C17_PostIsolation10_Isolation10,C0603,TopLayer,77.8924mm,139.0140mm,77.8924mm,139.0140mm,77.8924mm,139.7140mm,270
|
||||
C17_PostIsolation11_Isolation11,C0603,TopLayer,56.0468mm,139.0141mm,56.0468mm,139.0141mm,56.0468mm,139.7141mm,270
|
||||
C17_PostIsolation12_Isolation12,C0603,TopLayer,34.2013mm,139.0141mm,34.2013mm,139.0141mm,34.2013mm,139.7141mm,270
|
||||
C17_PostIsolation2_Isolation2,C0603,TopLayer,252.6553mm,139.0145mm,252.6553mm,139.0145mm,252.6553mm,139.7145mm,270
|
||||
C17_PostIsolation3_Isolation3,C0603,TopLayer,230.8098mm,139.0145mm,230.8098mm,139.0145mm,230.8098mm,139.7145mm,270
|
||||
C17_PostIsolation4_Isolation4,C0603,TopLayer,208.9656mm,139.0254mm,208.9656mm,139.0254mm,208.9656mm,139.7254mm,270
|
||||
C17_PostIsolation5_Isolation5,C0603,TopLayer,187.1201mm,139.0141mm,187.1201mm,139.0141mm,187.1201mm,139.7140mm,270
|
||||
C17_PostIsolation6_Isolation6,C0603,TopLayer,165.2746mm,139.0141mm,165.2746mm,139.0141mm,165.2746mm,139.7140mm,270
|
||||
C17_PostIsolation7_Isolation7,C0603,TopLayer,143.4290mm,139.0141mm,143.4290mm,139.0141mm,143.4290mm,139.7140mm,270
|
||||
C17_PostIsolation8_Isolation8,C0603,TopLayer,121.5835mm,139.0141mm,121.5835mm,139.0141mm,121.5835mm,139.7140mm,270
|
||||
C17_PostIsolation9_Isolation9,C0603,TopLayer,99.7379mm,139.0140mm,99.7379mm,139.0140mm,99.7379mm,139.7140mm,270
|
||||
C18_PostIsolation1_Isolation1,C0603,TopLayer,277.0425mm,139.0141mm,277.0425mm,139.0141mm,277.0425mm,139.7140mm,270
|
||||
C18_PostIsolation10_Isolation10,C0603,TopLayer,80.4324mm,139.0140mm,80.4324mm,139.0140mm,80.4324mm,139.7140mm,270
|
||||
C18_PostIsolation11_Isolation11,C0603,TopLayer,58.5868mm,139.0141mm,58.5868mm,139.0141mm,58.5868mm,139.7141mm,270
|
||||
C18_PostIsolation12_Isolation12,C0603,TopLayer,36.7413mm,139.0141mm,36.7413mm,139.0141mm,36.7413mm,139.7141mm,270
|
||||
C18_PostIsolation2_Isolation2,C0603,TopLayer,255.1953mm,139.0145mm,255.1953mm,139.0145mm,255.1953mm,139.7145mm,270
|
||||
C18_PostIsolation3_Isolation3,C0603,TopLayer,233.3498mm,139.0145mm,233.3498mm,139.0145mm,233.3498mm,139.7145mm,270
|
||||
C18_PostIsolation4_Isolation4,C0603,TopLayer,211.5056mm,139.0254mm,211.5056mm,139.0254mm,211.5056mm,139.7254mm,270
|
||||
C18_PostIsolation5_Isolation5,C0603,TopLayer,189.6601mm,139.0141mm,189.6601mm,139.0141mm,189.6601mm,139.7140mm,270
|
||||
C18_PostIsolation6_Isolation6,C0603,TopLayer,167.8146mm,139.0141mm,167.8146mm,139.0141mm,167.8146mm,139.7140mm,270
|
||||
C18_PostIsolation7_Isolation7,C0603,TopLayer,145.9690mm,139.0141mm,145.9690mm,139.0141mm,145.9690mm,139.7140mm,270
|
||||
C18_PostIsolation8_Isolation8,C0603,TopLayer,124.1235mm,139.0141mm,124.1235mm,139.0141mm,124.1235mm,139.7140mm,270
|
||||
C18_PostIsolation9_Isolation9,C0603,TopLayer,102.2779mm,139.0140mm,102.2779mm,139.0140mm,102.2779mm,139.7140mm,270
|
||||
C19_PostIsolation1_Isolation1,C0603,BottomLayer,286.5336mm,139.0141mm,286.5336mm,139.0141mm,286.5336mm,139.7140mm,270
|
||||
C19_PostIsolation10_Isolation10,C0603,BottomLayer,89.9235mm,139.0140mm,89.9235mm,139.0140mm,89.9235mm,139.7140mm,270
|
||||
C19_PostIsolation11_Isolation11,C0603,BottomLayer,68.0780mm,139.0141mm,68.0780mm,139.0141mm,68.0780mm,139.7141mm,270
|
||||
C19_PostIsolation12_Isolation12,C0603,BottomLayer,46.2324mm,139.0141mm,46.2324mm,139.0141mm,46.2324mm,139.7141mm,270
|
||||
C19_PostIsolation2_Isolation2,C0603,BottomLayer,264.6864mm,139.0145mm,264.6864mm,139.0145mm,264.6864mm,139.7145mm,270
|
||||
C19_PostIsolation3_Isolation3,C0603,BottomLayer,242.8409mm,139.0145mm,242.8409mm,139.0145mm,242.8409mm,139.7145mm,270
|
||||
C19_PostIsolation4_Isolation4,C0603,BottomLayer,220.9968mm,139.0254mm,220.9968mm,139.0254mm,220.9968mm,139.7254mm,270
|
||||
C19_PostIsolation5_Isolation5,C0603,BottomLayer,199.1512mm,139.0141mm,199.1512mm,139.0141mm,199.1512mm,139.7140mm,270
|
||||
C19_PostIsolation6_Isolation6,C0603,BottomLayer,177.3057mm,139.0141mm,177.3057mm,139.0141mm,177.3057mm,139.7140mm,270
|
||||
C19_PostIsolation7_Isolation7,C0603,BottomLayer,155.4601mm,139.0141mm,155.4601mm,139.0141mm,155.4601mm,139.7140mm,270
|
||||
C19_PostIsolation8_Isolation8,C0603,BottomLayer,133.6146mm,139.0141mm,133.6146mm,139.0141mm,133.6146mm,139.7140mm,270
|
||||
C19_PostIsolation9_Isolation9,C0603,BottomLayer,111.7690mm,139.0140mm,111.7690mm,139.0140mm,111.7690mm,139.7140mm,270
|
||||
C2,C0402,BottomLayer,231.4438mm,82.9078mm,231.4438mm,82.9078mm,231.4438mm,82.5078mm,90
|
||||
C20_PostIsolation1_Isolation1,C0603,BottomLayer,283.9936mm,139.0141mm,283.9936mm,139.0141mm,283.9936mm,139.7140mm,270
|
||||
C20_PostIsolation10_Isolation10,C0603,BottomLayer,87.3835mm,139.0140mm,87.3835mm,139.0140mm,87.3835mm,139.7140mm,270
|
||||
C20_PostIsolation11_Isolation11,C0603,BottomLayer,65.5380mm,139.0141mm,65.5380mm,139.0141mm,65.5380mm,139.7141mm,270
|
||||
C20_PostIsolation12_Isolation12,C0603,BottomLayer,43.6924mm,139.0141mm,43.6924mm,139.0141mm,43.6924mm,139.7141mm,270
|
||||
C20_PostIsolation2_Isolation2,C0603,BottomLayer,262.1465mm,139.0145mm,262.1465mm,139.0145mm,262.1465mm,139.7145mm,270
|
||||
C20_PostIsolation3_Isolation3,C0603,BottomLayer,240.3009mm,139.0145mm,240.3009mm,139.0145mm,240.3009mm,139.7145mm,270
|
||||
C20_PostIsolation4_Isolation4,C0603,BottomLayer,218.4568mm,139.0254mm,218.4568mm,139.0254mm,218.4568mm,139.7254mm,270
|
||||
C20_PostIsolation5_Isolation5,C0603,BottomLayer,196.6112mm,139.0141mm,196.6112mm,139.0141mm,196.6112mm,139.7140mm,270
|
||||
C20_PostIsolation6_Isolation6,C0603,BottomLayer,174.7657mm,139.0141mm,174.7657mm,139.0141mm,174.7657mm,139.7140mm,270
|
||||
C20_PostIsolation7_Isolation7,C0603,BottomLayer,152.9201mm,139.0141mm,152.9201mm,139.0141mm,152.9201mm,139.7140mm,270
|
||||
C20_PostIsolation8_Isolation8,C0603,BottomLayer,131.0746mm,139.0141mm,131.0746mm,139.0141mm,131.0746mm,139.7140mm,270
|
||||
C20_PostIsolation9_Isolation9,C0603,BottomLayer,109.2290mm,139.0140mm,109.2290mm,139.0140mm,109.2290mm,139.7140mm,270
|
||||
C21_PostIsolation1_Isolation1,C0603,BottomLayer,291.6136mm,139.0141mm,291.6136mm,139.0141mm,291.6136mm,139.7140mm,270
|
||||
C21_PostIsolation10_Isolation10,C0603,BottomLayer,95.0035mm,139.0140mm,95.0035mm,139.0140mm,95.0035mm,139.7140mm,270
|
||||
C21_PostIsolation11_Isolation11,C0603,BottomLayer,73.1580mm,139.0141mm,73.1580mm,139.0141mm,73.1580mm,139.7141mm,270
|
||||
C21_PostIsolation12_Isolation12,C0603,BottomLayer,51.3124mm,139.0141mm,51.3124mm,139.0141mm,51.3124mm,139.7141mm,270
|
||||
C21_PostIsolation2_Isolation2,C0603,BottomLayer,269.7665mm,139.0145mm,269.7665mm,139.0145mm,269.7665mm,139.7145mm,270
|
||||
C21_PostIsolation3_Isolation3,C0603,BottomLayer,247.9209mm,139.0145mm,247.9209mm,139.0145mm,247.9209mm,139.7145mm,270
|
||||
C21_PostIsolation4_Isolation4,C0603,BottomLayer,226.0768mm,139.0254mm,226.0768mm,139.0254mm,226.0768mm,139.7254mm,270
|
||||
C21_PostIsolation5_Isolation5,C0603,BottomLayer,204.2312mm,139.0141mm,204.2312mm,139.0141mm,204.2312mm,139.7140mm,270
|
||||
C21_PostIsolation6_Isolation6,C0603,BottomLayer,182.3857mm,139.0141mm,182.3857mm,139.0141mm,182.3857mm,139.7140mm,270
|
||||
C21_PostIsolation7_Isolation7,C0603,BottomLayer,160.5401mm,139.0141mm,160.5401mm,139.0141mm,160.5401mm,139.7140mm,270
|
||||
C21_PostIsolation8_Isolation8,C0603,BottomLayer,138.6946mm,139.0141mm,138.6946mm,139.0141mm,138.6946mm,139.7140mm,270
|
||||
C21_PostIsolation9_Isolation9,C0603,BottomLayer,116.8490mm,139.0140mm,116.8490mm,139.0140mm,116.8490mm,139.7140mm,270
|
||||
C22_PostIsolation1_Isolation1,C0603,BottomLayer,289.0736mm,139.0141mm,289.0736mm,139.0141mm,289.0736mm,139.7140mm,270
|
||||
C22_PostIsolation10_Isolation10,C0603,BottomLayer,92.4635mm,139.0140mm,92.4635mm,139.0140mm,92.4635mm,139.7140mm,270
|
||||
C22_PostIsolation11_Isolation11,C0603,BottomLayer,70.6179mm,139.0141mm,70.6179mm,139.0141mm,70.6179mm,139.7141mm,270
|
||||
C22_PostIsolation12_Isolation12,C0603,BottomLayer,48.7724mm,139.0141mm,48.7724mm,139.0141mm,48.7724mm,139.7141mm,270
|
||||
C22_PostIsolation2_Isolation2,C0603,BottomLayer,267.2265mm,139.0145mm,267.2265mm,139.0145mm,267.2265mm,139.7145mm,270
|
||||
C22_PostIsolation3_Isolation3,C0603,BottomLayer,245.3809mm,139.0145mm,245.3809mm,139.0145mm,245.3809mm,139.7145mm,270
|
||||
C22_PostIsolation4_Isolation4,C0603,BottomLayer,223.5368mm,139.0254mm,223.5368mm,139.0254mm,223.5368mm,139.7254mm,270
|
||||
C22_PostIsolation5_Isolation5,C0603,BottomLayer,201.6912mm,139.0141mm,201.6912mm,139.0141mm,201.6912mm,139.7140mm,270
|
||||
C22_PostIsolation6_Isolation6,C0603,BottomLayer,179.8457mm,139.0141mm,179.8457mm,139.0141mm,179.8457mm,139.7140mm,270
|
||||
C22_PostIsolation7_Isolation7,C0603,BottomLayer,158.0001mm,139.0141mm,158.0001mm,139.0141mm,158.0001mm,139.7140mm,270
|
||||
C22_PostIsolation8_Isolation8,C0603,BottomLayer,136.1546mm,139.0141mm,136.1546mm,139.0141mm,136.1546mm,139.7140mm,270
|
||||
C22_PostIsolation9_Isolation9,C0603,BottomLayer,114.3090mm,139.0140mm,114.3090mm,139.0140mm,114.3090mm,139.7140mm,270
|
||||
C23_PostIsolation1_Interface1,C0805,BottomLayer,286.6050mm,214.8640mm,286.6050mm,214.8641mm,286.6050mm,214.0640mm,90
|
||||
C23_PostIsolation10_Interface10,C0805,BottomLayer,89.8904mm,214.8657mm,89.8904mm,214.8657mm,89.8904mm,214.0657mm,90
|
||||
C23_PostIsolation11_Interface11,C0805,BottomLayer,68.0448mm,214.8674mm,68.0448mm,214.8674mm,68.0448mm,214.0674mm,90
|
||||
C23_PostIsolation12_Interface12,C0805,BottomLayer,46.1993mm,214.8657mm,46.1993mm,214.8657mm,46.1993mm,214.0657mm,90
|
||||
C23_PostIsolation2_Interface2,C0805,BottomLayer,264.6547mm,214.8657mm,264.6547mm,214.8657mm,264.6547mm,214.0657mm,90
|
||||
C23_PostIsolation3_Interface3,C0805,BottomLayer,242.8092mm,214.8657mm,242.8092mm,214.8657mm,242.8092mm,214.0657mm,90
|
||||
C23_PostIsolation4_Interface4,C0805,BottomLayer,220.9636mm,214.8657mm,220.9636mm,214.8657mm,220.9636mm,214.0657mm,90
|
||||
C23_PostIsolation5_Interface5,C0805,BottomLayer,199.1181mm,214.8674mm,199.1181mm,214.8674mm,199.1181mm,214.0674mm,90
|
||||
C23_PostIsolation6_Interface6,C0805,BottomLayer,177.2726mm,214.8657mm,177.2726mm,214.8657mm,177.2726mm,214.0657mm,90
|
||||
C23_PostIsolation7_Interface7,C0805,BottomLayer,155.4270mm,214.8674mm,155.4270mm,214.8674mm,155.4270mm,214.0674mm,90
|
||||
C23_PostIsolation8_Interface8,C0805,BottomLayer,133.5815mm,214.8657mm,133.5815mm,214.8657mm,133.5815mm,214.0657mm,90
|
||||
C23_PostIsolation9_Interface9,C0805,BottomLayer,111.7359mm,214.8674mm,111.7359mm,214.8674mm,111.7359mm,214.0674mm,90
|
||||
C24_PostIsolation1_Interface1,C0805,BottomLayer,286.6050mm,207.2440mm,286.6050mm,207.2441mm,286.6050mm,206.4440mm,90
|
||||
C24_PostIsolation10_Interface10,C0805,BottomLayer,89.8904mm,207.2457mm,89.8904mm,207.2457mm,89.8904mm,206.4457mm,90
|
||||
C24_PostIsolation11_Interface11,C0805,BottomLayer,68.0448mm,207.2457mm,68.0448mm,207.2457mm,68.0448mm,206.4457mm,90
|
||||
C24_PostIsolation12_Interface12,C0805,BottomLayer,46.1993mm,207.2457mm,46.1993mm,207.2457mm,46.1993mm,206.4457mm,90
|
||||
C24_PostIsolation2_Interface2,C0805,BottomLayer,264.6547mm,207.2457mm,264.6547mm,207.2457mm,264.6547mm,206.4457mm,90
|
||||
C24_PostIsolation3_Interface3,C0805,BottomLayer,242.8092mm,207.2440mm,242.8092mm,207.2441mm,242.8092mm,206.4440mm,90
|
||||
C24_PostIsolation4_Interface4,C0805,BottomLayer,220.9636mm,207.2457mm,220.9636mm,207.2457mm,220.9636mm,206.4457mm,90
|
||||
C24_PostIsolation5_Interface5,C0805,BottomLayer,199.1181mm,207.2457mm,199.1181mm,207.2457mm,199.1181mm,206.4457mm,90
|
||||
C24_PostIsolation6_Interface6,C0805,BottomLayer,177.2726mm,207.2457mm,177.2726mm,207.2457mm,177.2726mm,206.4457mm,90
|
||||
C24_PostIsolation7_Interface7,C0805,BottomLayer,155.4270mm,207.2457mm,155.4270mm,207.2457mm,155.4270mm,206.4457mm,90
|
||||
C24_PostIsolation8_Interface8,C0805,BottomLayer,133.5815mm,207.2457mm,133.5815mm,207.2457mm,133.5815mm,206.4457mm,90
|
||||
C24_PostIsolation9_Interface9,C0805,BottomLayer,111.7359mm,207.2457mm,111.7359mm,207.2457mm,111.7359mm,206.4457mm,90
|
||||
C25_PostIsolation1_Interface1,C0805,BottomLayer,286.6050mm,199.6240mm,286.6050mm,199.6241mm,286.6050mm,198.8240mm,90
|
||||
C25_PostIsolation10_Interface10,C0805,BottomLayer,89.8904mm,199.6257mm,89.8904mm,199.6257mm,89.8904mm,198.8257mm,90
|
||||
C25_PostIsolation11_Interface11,C0805,BottomLayer,68.0448mm,199.6257mm,68.0448mm,199.6257mm,68.0448mm,198.8257mm,90
|
||||
C25_PostIsolation12_Interface12,C0805,BottomLayer,46.1993mm,199.6257mm,46.1993mm,199.6257mm,46.1993mm,198.8257mm,90
|
||||
C25_PostIsolation2_Interface2,C0805,BottomLayer,264.6547mm,199.6257mm,264.6547mm,199.6257mm,264.6547mm,198.8257mm,90
|
||||
C25_PostIsolation3_Interface3,C0805,BottomLayer,242.8092mm,199.6240mm,242.8092mm,199.6241mm,242.8092mm,198.8240mm,90
|
||||
C25_PostIsolation4_Interface4,C0805,BottomLayer,220.9636mm,199.6257mm,220.9636mm,199.6257mm,220.9636mm,198.8257mm,90
|
||||
C25_PostIsolation5_Interface5,C0805,BottomLayer,199.1181mm,199.6257mm,199.1181mm,199.6257mm,199.1181mm,198.8257mm,90
|
||||
C25_PostIsolation6_Interface6,C0805,BottomLayer,177.2726mm,199.6257mm,177.2726mm,199.6257mm,177.2726mm,198.8257mm,90
|
||||
C25_PostIsolation7_Interface7,C0805,BottomLayer,155.4270mm,199.6257mm,155.4270mm,199.6257mm,155.4270mm,198.8257mm,90
|
||||
C25_PostIsolation8_Interface8,C0805,BottomLayer,133.5815mm,199.6257mm,133.5815mm,199.6257mm,133.5815mm,198.8257mm,90
|
||||
C25_PostIsolation9_Interface9,C0805,BottomLayer,111.7359mm,199.6257mm,111.7359mm,199.6257mm,111.7359mm,198.8257mm,90
|
||||
C26_PostIsolation1_Interface1,C0805,BottomLayer,286.6050mm,192.0040mm,286.6050mm,192.0041mm,286.6050mm,191.2040mm,90
|
||||
C26_PostIsolation10_Interface10,C0805,BottomLayer,89.8904mm,192.0057mm,89.8904mm,192.0057mm,89.8904mm,191.2057mm,90
|
||||
C26_PostIsolation11_Interface11,C0805,BottomLayer,68.0448mm,192.0057mm,68.0448mm,192.0057mm,68.0448mm,191.2057mm,90
|
||||
C26_PostIsolation12_Interface12,C0805,BottomLayer,46.1993mm,192.0057mm,46.1993mm,192.0057mm,46.1993mm,191.2057mm,90
|
||||
C26_PostIsolation2_Interface2,C0805,BottomLayer,264.6547mm,192.0057mm,264.6547mm,192.0057mm,264.6547mm,191.2057mm,90
|
||||
C26_PostIsolation3_Interface3,C0805,BottomLayer,242.8092mm,192.0040mm,242.8092mm,192.0041mm,242.8092mm,191.2040mm,90
|
||||
C26_PostIsolation4_Interface4,C0805,BottomLayer,220.9636mm,192.0057mm,220.9636mm,192.0057mm,220.9636mm,191.2057mm,90
|
||||
C26_PostIsolation5_Interface5,C0805,BottomLayer,199.1181mm,192.0057mm,199.1181mm,192.0057mm,199.1181mm,191.2057mm,90
|
||||
C26_PostIsolation6_Interface6,C0805,BottomLayer,177.2726mm,192.0057mm,177.2726mm,192.0057mm,177.2726mm,191.2057mm,90
|
||||
C26_PostIsolation7_Interface7,C0805,BottomLayer,155.4270mm,192.0057mm,155.4270mm,192.0057mm,155.4270mm,191.2057mm,90
|
||||
C26_PostIsolation8_Interface8,C0805,BottomLayer,133.5815mm,192.0057mm,133.5815mm,192.0057mm,133.5815mm,191.2057mm,90
|
||||
C26_PostIsolation9_Interface9,C0805,BottomLayer,111.7359mm,192.0057mm,111.7359mm,192.0057mm,111.7359mm,191.2057mm,90
|
||||
C27_PostIsolation1_Drive1,C0603,TopLayer,291.9409mm,161.5907mm,291.9409mm,161.5907mm,291.9409mm,160.8907mm,90
|
||||
C27_PostIsolation1_Drive2,C0603,TopLayer,286.4797mm,161.5874mm,286.4797mm,161.5874mm,286.4797mm,160.8874mm,90
|
||||
C27_PostIsolation1_Drive3,C0603,TopLayer,281.0150mm,161.5874mm,281.0150mm,161.5874mm,281.0150mm,160.8874mm,90
|
||||
C27_PostIsolation1_Drive4,C0603,TopLayer,275.5596mm,161.5874mm,275.5596mm,161.5874mm,275.5596mm,160.8874mm,90
|
||||
C27_PostIsolation10_Drive1,C0603,TopLayer,95.3311mm,161.5874mm,95.3311mm,161.5874mm,95.3311mm,160.8874mm,90
|
||||
C27_PostIsolation10_Drive2,C0603,TopLayer,89.8698mm,161.5874mm,89.8698mm,161.5874mm,89.8698mm,160.8874mm,90
|
||||
C27_PostIsolation10_Drive3,C0603,TopLayer,84.4084mm,161.5874mm,84.4084mm,161.5874mm,84.4084mm,160.8874mm,90
|
||||
C27_PostIsolation10_Drive4,C0603,TopLayer,78.9470mm,161.5874mm,78.9470mm,161.5874mm,78.9470mm,160.8874mm,90
|
||||
C27_PostIsolation11_Drive1,C0603,TopLayer,73.4856mm,161.5874mm,73.4856mm,161.5874mm,73.4856mm,160.8874mm,90
|
||||
C27_PostIsolation11_Drive2,C0603,TopLayer,68.0242mm,161.5874mm,68.0242mm,161.5874mm,68.0242mm,160.8874mm,90
|
||||
C27_PostIsolation11_Drive3,C0603,TopLayer,62.5628mm,161.5874mm,62.5628mm,161.5874mm,62.5628mm,160.8874mm,90
|
||||
C27_PostIsolation11_Drive4,C0603,TopLayer,57.1014mm,161.5874mm,57.1014mm,161.5874mm,57.1014mm,160.8874mm,90
|
||||
C27_PostIsolation12_Drive1,C0603,TopLayer,51.6401mm,161.5874mm,51.6401mm,161.5874mm,51.6401mm,160.8874mm,90
|
||||
C27_PostIsolation12_Drive2,C0603,TopLayer,46.1787mm,161.5874mm,46.1787mm,161.5874mm,46.1787mm,160.8874mm,90
|
||||
C27_PostIsolation12_Drive3,C0603,TopLayer,40.7173mm,161.5874mm,40.7173mm,161.5874mm,40.7173mm,160.8874mm,90
|
||||
C27_PostIsolation12_Drive4,C0603,TopLayer,35.2559mm,161.5874mm,35.2559mm,161.5874mm,35.2559mm,160.8874mm,90
|
||||
C27_PostIsolation2_Drive1,C0603,TopLayer,270.0955mm,161.5874mm,270.0955mm,161.5874mm,270.0955mm,160.8874mm,90
|
||||
C27_PostIsolation2_Drive2,C0603,TopLayer,264.6341mm,161.5874mm,264.6341mm,161.5874mm,264.6341mm,160.8874mm,90
|
||||
C27_PostIsolation2_Drive3,C0603,TopLayer,259.1727mm,161.5874mm,259.1727mm,161.5874mm,259.1727mm,160.8874mm,90
|
||||
C27_PostIsolation2_Drive4,C0603,TopLayer,253.7113mm,161.5874mm,253.7113mm,161.5874mm,253.7113mm,160.8874mm,90
|
||||
C27_PostIsolation3_Drive1,C0603,TopLayer,248.2500mm,161.5874mm,248.2500mm,161.5874mm,248.2500mm,160.8874mm,90
|
||||
C27_PostIsolation3_Drive2,C0603,TopLayer,242.7886mm,161.5874mm,242.7886mm,161.5874mm,242.7886mm,160.8874mm,90
|
||||
C27_PostIsolation3_Drive3,C0603,TopLayer,237.3272mm,161.5874mm,237.3272mm,161.5874mm,237.3272mm,160.8874mm,90
|
||||
C27_PostIsolation3_Drive4,C0603,TopLayer,231.8658mm,161.5874mm,231.8658mm,161.5874mm,231.8658mm,160.8874mm,90
|
||||
C27_PostIsolation4_Drive1,C0603,TopLayer,226.4044mm,161.5840mm,226.4044mm,161.5840mm,226.4044mm,160.8840mm,90
|
||||
C27_PostIsolation4_Drive2,C0603,TopLayer,220.9430mm,161.5874mm,220.9430mm,161.5874mm,220.9430mm,160.8874mm,90
|
||||
C27_PostIsolation4_Drive3,C0603,TopLayer,215.4816mm,161.5874mm,215.4816mm,161.5874mm,215.4816mm,160.8874mm,90
|
||||
C27_PostIsolation4_Drive4,C0603,TopLayer,210.0203mm,161.5987mm,210.0203mm,161.5987mm,210.0203mm,160.8987mm,90
|
||||
C27_PostIsolation5_Drive1,C0603,TopLayer,204.5589mm,161.5874mm,204.5589mm,161.5874mm,204.5589mm,160.8874mm,90
|
||||
C27_PostIsolation5_Drive2,C0603,TopLayer,199.0975mm,161.5874mm,199.0975mm,161.5874mm,199.0975mm,160.8874mm,90
|
||||
C27_PostIsolation5_Drive3,C0603,TopLayer,193.6361mm,161.5874mm,193.6361mm,161.5874mm,193.6361mm,160.8874mm,90
|
||||
C27_PostIsolation5_Drive4,C0603,TopLayer,188.1747mm,161.5874mm,188.1747mm,161.5874mm,188.1747mm,160.8874mm,90
|
||||
C27_PostIsolation6_Drive1,C0603,TopLayer,182.7133mm,161.5874mm,182.7133mm,161.5874mm,182.7133mm,160.8874mm,90
|
||||
C27_PostIsolation6_Drive2,C0603,TopLayer,177.2519mm,161.5874mm,177.2519mm,161.5874mm,177.2519mm,160.8874mm,90
|
||||
C27_PostIsolation6_Drive3,C0603,TopLayer,171.7906mm,161.5874mm,171.7906mm,161.5874mm,171.7906mm,160.8874mm,90
|
||||
C27_PostIsolation6_Drive4,C0603,TopLayer,166.3292mm,161.5874mm,166.3292mm,161.5874mm,166.3292mm,160.8874mm,90
|
||||
C27_PostIsolation7_Drive1,C0603,TopLayer,160.8678mm,161.5874mm,160.8678mm,161.5874mm,160.8678mm,160.8874mm,90
|
||||
C27_PostIsolation7_Drive2,C0603,TopLayer,155.4064mm,161.5874mm,155.4064mm,161.5874mm,155.4064mm,160.8874mm,90
|
||||
C27_PostIsolation7_Drive3,C0603,TopLayer,149.9450mm,161.5874mm,149.9450mm,161.5874mm,149.9450mm,160.8874mm,90
|
||||
C27_PostIsolation7_Drive4,C0603,TopLayer,144.4836mm,161.5874mm,144.4836mm,161.5874mm,144.4836mm,160.8874mm,90
|
||||
C27_PostIsolation8_Drive1,C0603,TopLayer,139.0222mm,161.5874mm,139.0222mm,161.5874mm,139.0222mm,160.8874mm,90
|
||||
C27_PostIsolation8_Drive2,C0603,TopLayer,133.5608mm,161.5874mm,133.5608mm,161.5874mm,133.5608mm,160.8874mm,90
|
||||
C27_PostIsolation8_Drive3,C0603,TopLayer,128.0995mm,161.5874mm,128.0995mm,161.5874mm,128.0995mm,160.8874mm,90
|
||||
C27_PostIsolation8_Drive4,C0603,TopLayer,122.6381mm,161.5874mm,122.6381mm,161.5874mm,122.6381mm,160.8874mm,90
|
||||
C27_PostIsolation9_Drive1,C0603,TopLayer,117.1767mm,161.5874mm,117.1767mm,161.5874mm,117.1767mm,160.8874mm,90
|
||||
C27_PostIsolation9_Drive2,C0603,TopLayer,111.7153mm,161.5874mm,111.7153mm,161.5874mm,111.7153mm,160.8874mm,90
|
||||
C27_PostIsolation9_Drive3,C0603,TopLayer,106.2539mm,161.5874mm,106.2539mm,161.5874mm,106.2539mm,160.8874mm,90
|
||||
C27_PostIsolation9_Drive4,C0603,TopLayer,100.7925mm,161.5874mm,100.7925mm,161.5874mm,100.7925mm,160.8874mm,90
|
||||
C28_PostIsolation1_Drive1,C0603,BottomLayer,292.7404mm,143.2020mm,292.7404mm,143.2020mm,292.7404mm,143.9020mm,270
|
||||
C28_PostIsolation1_Drive2,C0603,BottomLayer,287.2792mm,143.1987mm,287.2792mm,143.1987mm,287.2792mm,143.8987mm,270
|
||||
C28_PostIsolation1_Drive3,C0603,BottomLayer,281.8145mm,143.1987mm,281.8145mm,143.1987mm,281.8145mm,143.8987mm,270
|
||||
C28_PostIsolation1_Drive4,C0603,BottomLayer,276.3591mm,143.1987mm,276.3591mm,143.1987mm,276.3591mm,143.8987mm,270
|
||||
C28_PostIsolation10_Drive1,C0603,BottomLayer,96.1306mm,143.1987mm,96.1306mm,143.1987mm,96.1306mm,143.8987mm,270
|
||||
C28_PostIsolation10_Drive2,C0603,BottomLayer,90.6693mm,143.1987mm,90.6693mm,143.1987mm,90.6693mm,143.8987mm,270
|
||||
C28_PostIsolation10_Drive3,C0603,BottomLayer,85.2079mm,143.1987mm,85.2079mm,143.1987mm,85.2079mm,143.8987mm,270
|
||||
C28_PostIsolation10_Drive4,C0603,BottomLayer,79.7465mm,143.1987mm,79.7465mm,143.1987mm,79.7465mm,143.8987mm,270
|
||||
C28_PostIsolation11_Drive1,C0603,BottomLayer,74.2851mm,143.1987mm,74.2851mm,143.1987mm,74.2851mm,143.8987mm,270
|
||||
C28_PostIsolation11_Drive2,C0603,BottomLayer,68.8237mm,143.1987mm,68.8237mm,143.1987mm,68.8237mm,143.8987mm,270
|
||||
C28_PostIsolation11_Drive3,C0603,BottomLayer,63.3623mm,143.1987mm,63.3623mm,143.1987mm,63.3623mm,143.8987mm,270
|
||||
C28_PostIsolation11_Drive4,C0603,BottomLayer,57.9009mm,143.1987mm,57.9009mm,143.1987mm,57.9009mm,143.8987mm,270
|
||||
C28_PostIsolation12_Drive1,C0603,BottomLayer,52.4396mm,143.1987mm,52.4396mm,143.1987mm,52.4396mm,143.8987mm,270
|
||||
C28_PostIsolation12_Drive2,C0603,BottomLayer,46.9782mm,143.1987mm,46.9782mm,143.1987mm,46.9782mm,143.8987mm,270
|
||||
C28_PostIsolation12_Drive3,C0603,BottomLayer,41.5168mm,143.1987mm,41.5168mm,143.1987mm,41.5168mm,143.8987mm,270
|
||||
C28_PostIsolation12_Drive4,C0603,BottomLayer,36.0554mm,143.1987mm,36.0554mm,143.1987mm,36.0554mm,143.8987mm,270
|
||||
C28_PostIsolation2_Drive1,C0603,BottomLayer,270.8950mm,143.1987mm,270.8950mm,143.1987mm,270.8950mm,143.8987mm,270
|
||||
C28_PostIsolation2_Drive2,C0603,BottomLayer,265.4336mm,143.1987mm,265.4336mm,143.1987mm,265.4336mm,143.8987mm,270
|
||||
C28_PostIsolation2_Drive3,C0603,BottomLayer,259.9722mm,143.1987mm,259.9722mm,143.1987mm,259.9722mm,143.8987mm,270
|
||||
C28_PostIsolation2_Drive4,C0603,BottomLayer,254.5108mm,143.1987mm,254.5108mm,143.1987mm,254.5108mm,143.8987mm,270
|
||||
C28_PostIsolation3_Drive1,C0603,BottomLayer,249.0495mm,143.1987mm,249.0495mm,143.1987mm,249.0495mm,143.8987mm,270
|
||||
C28_PostIsolation3_Drive2,C0603,BottomLayer,243.5881mm,143.1987mm,243.5881mm,143.1987mm,243.5881mm,143.8987mm,270
|
||||
C28_PostIsolation3_Drive3,C0603,BottomLayer,238.1267mm,143.1987mm,238.1267mm,143.1987mm,238.1267mm,143.8987mm,270
|
||||
C28_PostIsolation3_Drive4,C0603,BottomLayer,232.6653mm,143.1987mm,232.6653mm,143.1987mm,232.6653mm,143.8987mm,270
|
||||
C28_PostIsolation4_Drive1,C0603,BottomLayer,227.2039mm,143.1953mm,227.2039mm,143.1953mm,227.2039mm,143.8953mm,270
|
||||
C28_PostIsolation4_Drive2,C0603,BottomLayer,221.7425mm,143.1987mm,221.7425mm,143.1987mm,221.7425mm,143.8987mm,270
|
||||
C28_PostIsolation4_Drive3,C0603,BottomLayer,216.2811mm,143.1987mm,216.2811mm,143.1987mm,216.2811mm,143.8987mm,270
|
||||
C28_PostIsolation4_Drive4,C0603,BottomLayer,210.8198mm,143.2100mm,210.8198mm,143.2100mm,210.8198mm,143.9100mm,270
|
||||
C28_PostIsolation5_Drive1,C0603,BottomLayer,205.3584mm,143.1987mm,205.3584mm,143.1987mm,205.3584mm,143.8987mm,270
|
||||
C28_PostIsolation5_Drive2,C0603,BottomLayer,199.8970mm,143.1987mm,199.8970mm,143.1987mm,199.8970mm,143.8987mm,270
|
||||
C28_PostIsolation5_Drive3,C0603,BottomLayer,194.4356mm,143.1987mm,194.4356mm,143.1987mm,194.4356mm,143.8987mm,270
|
||||
C28_PostIsolation5_Drive4,C0603,BottomLayer,188.9742mm,143.1987mm,188.9742mm,143.1987mm,188.9742mm,143.8987mm,270
|
||||
C28_PostIsolation6_Drive1,C0603,BottomLayer,183.5128mm,143.1987mm,183.5128mm,143.1987mm,183.5128mm,143.8987mm,270
|
||||
C28_PostIsolation6_Drive2,C0603,BottomLayer,178.0514mm,143.1987mm,178.0514mm,143.1987mm,178.0514mm,143.8987mm,270
|
||||
C28_PostIsolation6_Drive3,C0603,BottomLayer,172.5901mm,143.1987mm,172.5901mm,143.1987mm,172.5901mm,143.8987mm,270
|
||||
C28_PostIsolation6_Drive4,C0603,BottomLayer,167.1287mm,143.1987mm,167.1287mm,143.1987mm,167.1287mm,143.8987mm,270
|
||||
C28_PostIsolation7_Drive1,C0603,BottomLayer,161.6673mm,143.1987mm,161.6673mm,143.1987mm,161.6673mm,143.8987mm,270
|
||||
C28_PostIsolation7_Drive2,C0603,BottomLayer,156.2059mm,143.1987mm,156.2059mm,143.1987mm,156.2059mm,143.8987mm,270
|
||||
C28_PostIsolation7_Drive3,C0603,BottomLayer,150.7445mm,143.1987mm,150.7445mm,143.1987mm,150.7445mm,143.8987mm,270
|
||||
C28_PostIsolation7_Drive4,C0603,BottomLayer,145.2831mm,143.1987mm,145.2831mm,143.1987mm,145.2831mm,143.8987mm,270
|
||||
C28_PostIsolation8_Drive1,C0603,BottomLayer,139.8217mm,143.1987mm,139.8217mm,143.1987mm,139.8217mm,143.8987mm,270
|
||||
C28_PostIsolation8_Drive2,C0603,BottomLayer,134.3603mm,143.1987mm,134.3603mm,143.1987mm,134.3603mm,143.8987mm,270
|
||||
C28_PostIsolation8_Drive3,C0603,BottomLayer,128.8990mm,143.1987mm,128.8990mm,143.1987mm,128.8990mm,143.8987mm,270
|
||||
C28_PostIsolation8_Drive4,C0603,BottomLayer,123.4376mm,143.1987mm,123.4376mm,143.1987mm,123.4376mm,143.8987mm,270
|
||||
C28_PostIsolation9_Drive1,C0603,BottomLayer,117.9762mm,143.1987mm,117.9762mm,143.1987mm,117.9762mm,143.8987mm,270
|
||||
C28_PostIsolation9_Drive2,C0603,BottomLayer,112.5148mm,143.1987mm,112.5148mm,143.1987mm,112.5148mm,143.8987mm,270
|
||||
C28_PostIsolation9_Drive3,C0603,BottomLayer,107.0534mm,143.1987mm,107.0534mm,143.1987mm,107.0534mm,143.8987mm,270
|
||||
C28_PostIsolation9_Drive4,C0603,BottomLayer,101.5920mm,143.1987mm,101.5920mm,143.1987mm,101.5920mm,143.8987mm,270
|
||||
C29,C_10_10R2,TopLayer,300.0373mm,148.2893mm,300.0373mm,148.2893mm,300.0373mm,144.1393mm,90
|
||||
C3,C0402,BottomLayer,169.2870mm,96.2570mm,169.2870mm,96.2570mm,169.2870mm,95.8570mm,90
|
||||
C30,C_10_10R2,TopLayer,299.9788mm,190.5292mm,299.9788mm,190.5292mm,299.9788mm,194.6792mm,270
|
||||
C31,C0402,TopLayer,285.0774mm,103.2156mm,285.0774mm,103.2156mm,284.6774mm,103.2156mm,0
|
||||
C32,C0805,TopLayer,281.1062mm,111.5652mm,281.1062mm,111.5652mm,281.1062mm,110.7652mm,90
|
||||
C33,C0402,TopLayer,278.7753mm,111.7219mm,278.7753mm,111.7219mm,278.7753mm,111.3219mm,90
|
||||
C34,C0402,TopLayer,279.7485mm,111.7378mm,279.7485mm,111.7378mm,279.7485mm,111.3378mm,90
|
||||
C35,C0805,TopLayer,285.0420mm,96.3708mm,285.0420mm,96.3708mm,284.2420mm,96.3708mm,0
|
||||
C36,C0805,TopLayer,288.5425mm,98.3082mm,288.5425mm,98.3082mm,288.5425mm,99.1082mm,270
|
||||
C37,C0402,TopLayer,288.5453mm,101.9416mm,288.5453mm,101.9416mm,288.9453mm,101.9416mm,180
|
||||
C38,C0402,TopLayer,288.5453mm,100.2909mm,288.5453mm,100.2909mm,288.9453mm,100.2909mm,180
|
||||
C39,C0402,TopLayer,301.2728mm,114.9859mm,301.2728mm,114.9859mm,301.2728mm,114.5859mm,90
|
||||
C4,C0402,BottomLayer,169.2870mm,117.3570mm,169.2870mm,117.3570mm,169.2870mm,117.7570mm,270
|
||||
C40,C0402,TopLayer,283.3060mm,92.6821mm,283.3060mm,92.6821mm,283.3060mm,93.0821mm,270
|
||||
C41,C0603,TopLayer,301.2977mm,111.9334mm,301.2977mm,111.9334mm,301.2977mm,112.6335mm,270
|
||||
C42,C0402,BottomLayer,259.1467mm,109.8509mm,259.1467mm,109.8509mm,259.1467mm,110.2509mm,270
|
||||
C43,C0402,BottomLayer,260.1217mm,109.8509mm,260.1217mm,109.8509mm,260.1217mm,110.2509mm,270
|
||||
C44,C0402,BottomLayer,258.1717mm,109.8509mm,258.1717mm,109.8509mm,258.1717mm,110.2509mm,270
|
||||
C5,C0402,BottomLayer,173.2870mm,117.3570mm,173.2870mm,117.3570mm,173.2870mm,117.7570mm,270
|
||||
C6,C0402,BottomLayer,158.4870mm,107.0570mm,158.4870mm,107.0570mm,158.0870mm,107.0570mm,0
|
||||
C7,C0402,BottomLayer,164.2870mm,117.3570mm,164.2870mm,117.3570mm,164.2870mm,117.7570mm,270
|
||||
C8,C0402,BottomLayer,164.2870mm,96.2570mm,164.2870mm,96.2570mm,164.2870mm,95.8570mm,90
|
||||
C9,C0402,BottomLayer,158.4870mm,102.0570mm,158.4870mm,102.0570mm,158.0870mm,102.0570mm,0
|
||||
D1_PostIsolation1_Isolation1,LED0603,TopLayer,285.3969mm,128.5202mm,285.3969mm,128.5202mm,285.3969mm,127.8202mm,90
|
||||
D1_PostIsolation10_Isolation10,LED0603,TopLayer,88.7868mm,128.5202mm,88.7868mm,128.5202mm,88.7868mm,127.8202mm,90
|
||||
D1_PostIsolation11_Isolation11,LED0603,TopLayer,66.9412mm,128.5202mm,66.9412mm,128.5202mm,66.9412mm,127.8202mm,90
|
||||
D1_PostIsolation12_Isolation12,LED0603,TopLayer,45.0957mm,128.5202mm,45.0957mm,128.5202mm,45.0957mm,127.8202mm,90
|
||||
D1_PostIsolation2_Isolation2,LED0603,TopLayer,263.5497mm,128.5207mm,263.5497mm,128.5207mm,263.5497mm,127.8207mm,90
|
||||
D1_PostIsolation3_Isolation3,LED0603,TopLayer,241.7042mm,128.5207mm,241.7042mm,128.5207mm,241.7042mm,127.8207mm,90
|
||||
D1_PostIsolation4_Isolation4,LED0603,TopLayer,219.8600mm,128.5315mm,219.8600mm,128.5315mm,219.8600mm,127.8315mm,90
|
||||
D1_PostIsolation5_Isolation5,LED0603,TopLayer,198.0145mm,128.5202mm,198.0145mm,128.5202mm,198.0145mm,127.8202mm,90
|
||||
D1_PostIsolation6_Isolation6,LED0603,TopLayer,176.1690mm,128.5202mm,176.1690mm,128.5202mm,176.1690mm,127.8202mm,90
|
||||
D1_PostIsolation7_Isolation7,LED0603,TopLayer,154.3234mm,128.5202mm,154.3234mm,128.5202mm,154.3234mm,127.8202mm,90
|
||||
D1_PostIsolation8_Isolation8,LED0603,TopLayer,132.4779mm,128.5202mm,132.4779mm,128.5202mm,132.4779mm,127.8202mm,90
|
||||
D1_PostIsolation9_Isolation9,LED0603,TopLayer,110.6323mm,128.5202mm,110.6323mm,128.5202mm,110.6323mm,127.8202mm,90
|
||||
D10,LED0603,TopLayer,301.9093mm,121.3786mm,301.9093mm,121.3786mm,301.2093mm,121.3786mm,0
|
||||
D11,ZMM5V6-M,TopLayer,285.0138mm,90.5441mm,285.0139mm,90.5441mm,286.6639mm,90.5441mm,180
|
||||
D2_PostIsolation1_Isolation1,LED0603,TopLayer,287.8958mm,128.5202mm,287.8958mm,128.5202mm,287.8958mm,127.8202mm,90
|
||||
D2_PostIsolation10_Isolation10,LED0603,TopLayer,91.2857mm,128.5202mm,91.2857mm,128.5202mm,91.2857mm,127.8202mm,90
|
||||
D2_PostIsolation11_Isolation11,LED0603,TopLayer,69.4402mm,128.5202mm,69.4402mm,128.5202mm,69.4402mm,127.8202mm,90
|
||||
D2_PostIsolation12_Isolation12,LED0603,TopLayer,47.5946mm,128.5202mm,47.5946mm,128.5202mm,47.5946mm,127.8202mm,90
|
||||
D2_PostIsolation2_Isolation2,LED0603,TopLayer,266.0487mm,128.5207mm,266.0487mm,128.5207mm,266.0487mm,127.8207mm,90
|
||||
D2_PostIsolation3_Isolation3,LED0603,TopLayer,244.2031mm,128.5207mm,244.2031mm,128.5207mm,244.2031mm,127.8207mm,90
|
||||
D2_PostIsolation4_Isolation4,LED0603,TopLayer,222.3590mm,128.5315mm,222.3590mm,128.5315mm,222.3590mm,127.8315mm,90
|
||||
D2_PostIsolation5_Isolation5,LED0603,TopLayer,200.5134mm,128.5202mm,200.5134mm,128.5202mm,200.5134mm,127.8202mm,90
|
||||
D2_PostIsolation6_Isolation6,LED0603,TopLayer,178.6679mm,128.5202mm,178.6679mm,128.5202mm,178.6679mm,127.8202mm,90
|
||||
D2_PostIsolation7_Isolation7,LED0603,TopLayer,156.8223mm,128.5202mm,156.8223mm,128.5202mm,156.8223mm,127.8202mm,90
|
||||
D2_PostIsolation8_Isolation8,LED0603,TopLayer,134.9768mm,128.5202mm,134.9768mm,128.5202mm,134.9768mm,127.8202mm,90
|
||||
D2_PostIsolation9_Isolation9,LED0603,TopLayer,113.1313mm,128.5202mm,113.1313mm,128.5202mm,113.1313mm,127.8202mm,90
|
||||
D3_PostIsolation1_Isolation1,LED0603,TopLayer,290.3947mm,128.5202mm,290.3947mm,128.5202mm,290.3947mm,127.8202mm,90
|
||||
D3_PostIsolation10_Isolation10,LED0603,TopLayer,93.7847mm,128.5202mm,93.7847mm,128.5202mm,93.7847mm,127.8202mm,90
|
||||
D3_PostIsolation11_Isolation11,LED0603,TopLayer,71.9391mm,128.5202mm,71.9391mm,128.5202mm,71.9391mm,127.8202mm,90
|
||||
D3_PostIsolation12_Isolation12,LED0603,TopLayer,50.0936mm,128.5202mm,50.0936mm,128.5202mm,50.0936mm,127.8202mm,90
|
||||
D3_PostIsolation2_Isolation2,LED0603,TopLayer,268.5476mm,128.5207mm,268.5476mm,128.5207mm,268.5476mm,127.8207mm,90
|
||||
D3_PostIsolation3_Isolation3,LED0603,TopLayer,246.7021mm,128.5207mm,246.7021mm,128.5207mm,246.7021mm,127.8207mm,90
|
||||
D3_PostIsolation4_Isolation4,LED0603,TopLayer,224.8579mm,128.5315mm,224.8579mm,128.5315mm,224.8579mm,127.8315mm,90
|
||||
D3_PostIsolation5_Isolation5,LED0603,TopLayer,203.0124mm,128.5202mm,203.0124mm,128.5202mm,203.0124mm,127.8202mm,90
|
||||
D3_PostIsolation6_Isolation6,LED0603,TopLayer,181.1668mm,128.5202mm,181.1668mm,128.5202mm,181.1668mm,127.8202mm,90
|
||||
D3_PostIsolation7_Isolation7,LED0603,TopLayer,159.3213mm,128.5202mm,159.3213mm,128.5202mm,159.3213mm,127.8202mm,90
|
||||
D3_PostIsolation8_Isolation8,LED0603,TopLayer,137.4757mm,128.5202mm,137.4757mm,128.5202mm,137.4757mm,127.8202mm,90
|
||||
D3_PostIsolation9_Isolation9,LED0603,TopLayer,115.6302mm,128.5202mm,115.6302mm,128.5202mm,115.6302mm,127.8202mm,90
|
||||
D4_PostIsolation1_Isolation1,LED0603,TopLayer,292.8937mm,128.5202mm,292.8937mm,128.5202mm,292.8937mm,127.8202mm,90
|
||||
D4_PostIsolation10_Isolation10,LED0603,TopLayer,96.2836mm,128.5202mm,96.2836mm,128.5202mm,96.2836mm,127.8202mm,90
|
||||
D4_PostIsolation11_Isolation11,LED0603,TopLayer,74.4380mm,128.5202mm,74.4380mm,128.5202mm,74.4380mm,127.8202mm,90
|
||||
D4_PostIsolation12_Isolation12,LED0603,TopLayer,52.5925mm,128.5202mm,52.5925mm,128.5202mm,52.5925mm,127.8202mm,90
|
||||
D4_PostIsolation2_Isolation2,LED0603,TopLayer,271.0465mm,128.5207mm,271.0465mm,128.5207mm,271.0465mm,127.8207mm,90
|
||||
D4_PostIsolation3_Isolation3,LED0603,TopLayer,249.2010mm,128.5207mm,249.2010mm,128.5207mm,249.2010mm,127.8207mm,90
|
||||
D4_PostIsolation4_Isolation4,LED0603,TopLayer,227.3569mm,128.5315mm,227.3569mm,128.5315mm,227.3569mm,127.8315mm,90
|
||||
D4_PostIsolation5_Isolation5,LED0603,TopLayer,205.5113mm,128.5202mm,205.5113mm,128.5202mm,205.5113mm,127.8202mm,90
|
||||
D4_PostIsolation6_Isolation6,LED0603,TopLayer,183.6658mm,128.5202mm,183.6658mm,128.5202mm,183.6658mm,127.8202mm,90
|
||||
D4_PostIsolation7_Isolation7,LED0603,TopLayer,161.8202mm,128.5202mm,161.8202mm,128.5202mm,161.8202mm,127.8202mm,90
|
||||
D4_PostIsolation8_Isolation8,LED0603,TopLayer,139.9747mm,128.5202mm,139.9747mm,128.5202mm,139.9747mm,127.8202mm,90
|
||||
D4_PostIsolation9_Isolation9,LED0603,TopLayer,118.1291mm,128.5202mm,118.1291mm,128.5202mm,118.1291mm,127.8202mm,90
|
||||
D5_PostIsolation1_Drive1,sod-123,TopLayer,292.6993mm,144.0828mm,292.6993mm,144.0828mm,292.6993mm,142.3078mm,90
|
||||
D5_PostIsolation1_Drive2,sod-123,TopLayer,287.2381mm,144.0796mm,287.2381mm,144.0796mm,287.2381mm,142.3046mm,90
|
||||
D5_PostIsolation1_Drive3,sod-123,TopLayer,281.7733mm,144.0796mm,281.7733mm,144.0796mm,281.7733mm,142.3046mm,90
|
||||
D5_PostIsolation1_Drive4,sod-123,TopLayer,276.3179mm,144.0796mm,276.3179mm,144.0796mm,276.3179mm,142.3046mm,90
|
||||
D5_PostIsolation10_Drive1,sod-123,TopLayer,96.0895mm,144.0795mm,96.0895mm,144.0795mm,96.0895mm,142.3045mm,90
|
||||
D5_PostIsolation10_Drive2,sod-123,TopLayer,90.6281mm,144.0795mm,90.6281mm,144.0795mm,90.6281mm,142.3045mm,90
|
||||
D5_PostIsolation10_Drive3,sod-123,TopLayer,85.1667mm,144.0795mm,85.1667mm,144.0795mm,85.1667mm,142.3045mm,90
|
||||
D5_PostIsolation10_Drive4,sod-123,TopLayer,79.7053mm,144.0795mm,79.7053mm,144.0795mm,79.7053mm,142.3045mm,90
|
||||
D5_PostIsolation11_Drive1,sod-123,TopLayer,74.2439mm,144.0796mm,74.2439mm,144.0796mm,74.2439mm,142.3046mm,90
|
||||
D5_PostIsolation11_Drive2,sod-123,TopLayer,68.7826mm,144.0796mm,68.7826mm,144.0796mm,68.7826mm,142.3046mm,90
|
||||
D5_PostIsolation11_Drive3,sod-123,TopLayer,63.3212mm,144.0796mm,63.3212mm,144.0796mm,63.3212mm,142.3046mm,90
|
||||
D5_PostIsolation11_Drive4,sod-123,TopLayer,57.8598mm,144.0796mm,57.8598mm,144.0796mm,57.8598mm,142.3046mm,90
|
||||
D5_PostIsolation12_Drive1,sod-123,TopLayer,52.3984mm,144.0795mm,52.3984mm,144.0795mm,52.3984mm,142.3045mm,90
|
||||
D5_PostIsolation12_Drive2,sod-123,TopLayer,46.9370mm,144.0795mm,46.9370mm,144.0795mm,46.9370mm,142.3045mm,90
|
||||
D5_PostIsolation12_Drive3,sod-123,TopLayer,41.4756mm,144.0795mm,41.4756mm,144.0795mm,41.4756mm,142.3045mm,90
|
||||
D5_PostIsolation12_Drive4,sod-123,TopLayer,36.0142mm,144.0795mm,36.0142mm,144.0795mm,36.0142mm,142.3045mm,90
|
||||
D5_PostIsolation2_Drive1,sod-123,TopLayer,270.8538mm,144.0796mm,270.8538mm,144.0796mm,270.8538mm,142.3046mm,90
|
||||
D5_PostIsolation2_Drive2,sod-123,TopLayer,265.3925mm,144.0796mm,265.3925mm,144.0796mm,265.3925mm,142.3046mm,90
|
||||
D5_PostIsolation2_Drive3,sod-123,TopLayer,259.9311mm,144.0796mm,259.9311mm,144.0796mm,259.9311mm,142.3046mm,90
|
||||
D5_PostIsolation2_Drive4,sod-123,TopLayer,254.4697mm,144.0796mm,254.4697mm,144.0796mm,254.4697mm,142.3046mm,90
|
||||
D5_PostIsolation3_Drive1,sod-123,TopLayer,249.0083mm,144.0796mm,249.0083mm,144.0796mm,249.0083mm,142.3046mm,90
|
||||
D5_PostIsolation3_Drive2,sod-123,TopLayer,243.5469mm,144.0796mm,243.5469mm,144.0796mm,243.5469mm,142.3046mm,90
|
||||
D5_PostIsolation3_Drive3,sod-123,TopLayer,238.0855mm,144.0796mm,238.0855mm,144.0796mm,238.0855mm,142.3046mm,90
|
||||
D5_PostIsolation3_Drive4,sod-123,TopLayer,232.6241mm,144.0796mm,232.6241mm,144.0796mm,232.6241mm,142.3046mm,90
|
||||
D5_PostIsolation4_Drive1,sod-123,TopLayer,227.1628mm,144.0762mm,227.1628mm,144.0762mm,227.1628mm,142.3012mm,90
|
||||
D5_PostIsolation4_Drive2,sod-123,TopLayer,221.7014mm,144.0796mm,221.7014mm,144.0796mm,221.7014mm,142.3046mm,90
|
||||
D5_PostIsolation4_Drive3,sod-123,TopLayer,216.2400mm,144.0796mm,216.2400mm,144.0796mm,216.2400mm,142.3046mm,90
|
||||
D5_PostIsolation4_Drive4,sod-123,TopLayer,210.7786mm,144.0909mm,210.7786mm,144.0909mm,210.7786mm,142.3159mm,90
|
||||
D5_PostIsolation5_Drive1,sod-123,TopLayer,205.3172mm,144.0796mm,205.3172mm,144.0796mm,205.3172mm,142.3046mm,90
|
||||
D5_PostIsolation5_Drive2,sod-123,TopLayer,199.8558mm,144.0796mm,199.8558mm,144.0796mm,199.8558mm,142.3046mm,90
|
||||
D5_PostIsolation5_Drive3,sod-123,TopLayer,194.3944mm,144.0796mm,194.3944mm,144.0796mm,194.3944mm,142.3046mm,90
|
||||
D5_PostIsolation5_Drive4,sod-123,TopLayer,188.9331mm,144.0796mm,188.9331mm,144.0796mm,188.9331mm,142.3046mm,90
|
||||
D5_PostIsolation6_Drive1,sod-123,TopLayer,183.4717mm,144.0796mm,183.4717mm,144.0796mm,183.4717mm,142.3046mm,90
|
||||
D5_PostIsolation6_Drive2,sod-123,TopLayer,178.0103mm,144.0796mm,178.0103mm,144.0796mm,178.0103mm,142.3046mm,90
|
||||
D5_PostIsolation6_Drive3,sod-123,TopLayer,172.5489mm,144.0796mm,172.5489mm,144.0796mm,172.5489mm,142.3046mm,90
|
||||
D5_PostIsolation6_Drive4,sod-123,TopLayer,167.0875mm,144.0796mm,167.0875mm,144.0796mm,167.0875mm,142.3046mm,90
|
||||
D5_PostIsolation7_Drive1,sod-123,TopLayer,161.6261mm,144.0796mm,161.6261mm,144.0796mm,161.6261mm,142.3046mm,90
|
||||
D5_PostIsolation7_Drive2,sod-123,TopLayer,156.1647mm,144.0796mm,156.1647mm,144.0796mm,156.1647mm,142.3046mm,90
|
||||
D5_PostIsolation7_Drive3,sod-123,TopLayer,150.7033mm,144.0796mm,150.7033mm,144.0796mm,150.7033mm,142.3046mm,90
|
||||
D5_PostIsolation7_Drive4,sod-123,TopLayer,145.2420mm,144.0796mm,145.2420mm,144.0796mm,145.2420mm,142.3046mm,90
|
||||
D5_PostIsolation8_Drive1,sod-123,TopLayer,139.7806mm,144.0796mm,139.7806mm,144.0796mm,139.7806mm,142.3046mm,90
|
||||
D5_PostIsolation8_Drive2,sod-123,TopLayer,134.3192mm,144.0796mm,134.3192mm,144.0796mm,134.3192mm,142.3046mm,90
|
||||
D5_PostIsolation8_Drive3,sod-123,TopLayer,128.8578mm,144.0796mm,128.8578mm,144.0796mm,128.8578mm,142.3046mm,90
|
||||
D5_PostIsolation8_Drive4,sod-123,TopLayer,123.3964mm,144.0796mm,123.3964mm,144.0796mm,123.3964mm,142.3046mm,90
|
||||
D5_PostIsolation9_Drive1,sod-123,TopLayer,117.9350mm,144.0795mm,117.9350mm,144.0795mm,117.9350mm,142.3045mm,90
|
||||
D5_PostIsolation9_Drive2,sod-123,TopLayer,112.4736mm,144.0795mm,112.4736mm,144.0795mm,112.4736mm,142.3045mm,90
|
||||
D5_PostIsolation9_Drive3,sod-123,TopLayer,107.0123mm,144.0795mm,107.0123mm,144.0795mm,107.0123mm,142.3045mm,90
|
||||
D5_PostIsolation9_Drive4,sod-123,TopLayer,101.5509mm,144.0795mm,101.5509mm,144.0795mm,101.5509mm,142.3045mm,90
|
||||
D6_PostIsolation1_Drive1,1N4148,TopLayer,291.2541mm,164.5188mm,291.2541mm,164.5188mm,293.0321mm,164.5188mm,180
|
||||
D6_PostIsolation1_Drive2,1N4148,TopLayer,285.7929mm,164.5155mm,285.7929mm,164.5155mm,287.5709mm,164.5155mm,180
|
||||
D6_PostIsolation1_Drive3,1N4148,TopLayer,280.3282mm,164.5155mm,280.3282mm,164.5155mm,282.1062mm,164.5155mm,180
|
||||
D6_PostIsolation1_Drive4,1N4148,TopLayer,274.8728mm,164.5155mm,274.8728mm,164.5155mm,276.6508mm,164.5155mm,180
|
||||
D6_PostIsolation10_Drive1,1N4148,TopLayer,94.6443mm,164.5155mm,94.6443mm,164.5155mm,96.4223mm,164.5155mm,180
|
||||
D6_PostIsolation10_Drive2,1N4148,TopLayer,89.1829mm,164.5155mm,89.1829mm,164.5155mm,90.9609mm,164.5155mm,180
|
||||
D6_PostIsolation10_Drive3,1N4148,TopLayer,83.7215mm,164.5155mm,83.7215mm,164.5155mm,85.4996mm,164.5155mm,180
|
||||
D6_PostIsolation10_Drive4,1N4148,TopLayer,78.2602mm,164.5155mm,78.2602mm,164.5155mm,80.0382mm,164.5155mm,180
|
||||
D6_PostIsolation11_Drive1,1N4148,TopLayer,72.7988mm,164.5155mm,72.7988mm,164.5155mm,74.5768mm,164.5155mm,180
|
||||
D6_PostIsolation11_Drive2,1N4148,TopLayer,67.3374mm,164.5155mm,67.3374mm,164.5155mm,69.1154mm,164.5155mm,180
|
||||
D6_PostIsolation11_Drive3,1N4148,TopLayer,61.8760mm,164.5155mm,61.8760mm,164.5155mm,63.6540mm,164.5155mm,180
|
||||
D6_PostIsolation11_Drive4,1N4148,TopLayer,56.4146mm,164.5155mm,56.4146mm,164.5155mm,58.1926mm,164.5155mm,180
|
||||
D6_PostIsolation12_Drive1,1N4148,TopLayer,50.9532mm,164.5155mm,50.9532mm,164.5155mm,52.7312mm,164.5155mm,180
|
||||
D6_PostIsolation12_Drive2,1N4148,TopLayer,45.4919mm,164.5155mm,45.4919mm,164.5155mm,47.2699mm,164.5155mm,180
|
||||
D6_PostIsolation12_Drive3,1N4148,TopLayer,40.0305mm,164.5155mm,40.0305mm,164.5155mm,41.8085mm,164.5155mm,180
|
||||
D6_PostIsolation12_Drive4,1N4148,TopLayer,34.5691mm,164.5155mm,34.5691mm,164.5155mm,36.3471mm,164.5155mm,180
|
||||
D6_PostIsolation2_Drive1,1N4148,TopLayer,269.4087mm,164.5155mm,269.4087mm,164.5155mm,271.1867mm,164.5155mm,180
|
||||
D6_PostIsolation2_Drive2,1N4148,TopLayer,263.9473mm,164.5155mm,263.9473mm,164.5155mm,265.7253mm,164.5155mm,180
|
||||
D6_PostIsolation2_Drive3,1N4148,TopLayer,258.4859mm,164.5155mm,258.4859mm,164.5155mm,260.2639mm,164.5155mm,180
|
||||
D6_PostIsolation2_Drive4,1N4148,TopLayer,253.0245mm,164.5155mm,253.0245mm,164.5155mm,254.8025mm,164.5155mm,180
|
||||
D6_PostIsolation3_Drive1,1N4148,TopLayer,247.5631mm,164.5155mm,247.5631mm,164.5155mm,249.3411mm,164.5155mm,180
|
||||
D6_PostIsolation3_Drive2,1N4148,TopLayer,242.1018mm,164.5155mm,242.1018mm,164.5155mm,243.8798mm,164.5155mm,180
|
||||
D6_PostIsolation3_Drive3,1N4148,TopLayer,236.6404mm,164.5155mm,236.6404mm,164.5155mm,238.4184mm,164.5155mm,180
|
||||
D6_PostIsolation3_Drive4,1N4148,TopLayer,231.1790mm,164.5155mm,231.1790mm,164.5155mm,232.9570mm,164.5155mm,180
|
||||
D6_PostIsolation4_Drive1,1N4148,TopLayer,225.7176mm,164.5121mm,225.7176mm,164.5121mm,227.4956mm,164.5121mm,180
|
||||
D6_PostIsolation4_Drive2,1N4148,TopLayer,220.2562mm,164.5155mm,220.2562mm,164.5155mm,222.0342mm,164.5155mm,180
|
||||
D6_PostIsolation4_Drive3,1N4148,TopLayer,214.7948mm,164.5155mm,214.7948mm,164.5155mm,216.5728mm,164.5155mm,180
|
||||
D6_PostIsolation4_Drive4,1N4148,TopLayer,209.3334mm,164.5268mm,209.3334mm,164.5268mm,211.1114mm,164.5268mm,180
|
||||
D6_PostIsolation5_Drive1,1N4148,TopLayer,203.8721mm,164.5155mm,203.8721mm,164.5155mm,205.6501mm,164.5155mm,180
|
||||
D6_PostIsolation5_Drive2,1N4148,TopLayer,198.4107mm,164.5155mm,198.4107mm,164.5155mm,200.1887mm,164.5155mm,180
|
||||
D6_PostIsolation5_Drive3,1N4148,TopLayer,192.9493mm,164.5155mm,192.9493mm,164.5155mm,194.7273mm,164.5155mm,180
|
||||
D6_PostIsolation5_Drive4,1N4148,TopLayer,187.4879mm,164.5155mm,187.4879mm,164.5155mm,189.2659mm,164.5155mm,180
|
||||
D6_PostIsolation6_Drive1,1N4148,TopLayer,182.0265mm,164.5155mm,182.0265mm,164.5155mm,183.8045mm,164.5155mm,180
|
||||
D6_PostIsolation6_Drive2,1N4148,TopLayer,176.5651mm,164.5155mm,176.5651mm,164.5155mm,178.3431mm,164.5155mm,180
|
||||
D6_PostIsolation6_Drive3,1N4148,TopLayer,171.1037mm,164.5155mm,171.1037mm,164.5155mm,172.8817mm,164.5155mm,180
|
||||
D6_PostIsolation6_Drive4,1N4148,TopLayer,165.6423mm,164.5155mm,165.6423mm,164.5155mm,167.4203mm,164.5155mm,180
|
||||
D6_PostIsolation7_Drive1,1N4148,TopLayer,160.1810mm,164.5155mm,160.1810mm,164.5155mm,161.9590mm,164.5155mm,180
|
||||
D6_PostIsolation7_Drive2,1N4148,TopLayer,154.7196mm,164.5155mm,154.7196mm,164.5155mm,156.4976mm,164.5155mm,180
|
||||
D6_PostIsolation7_Drive3,1N4148,TopLayer,149.2582mm,164.5155mm,149.2582mm,164.5155mm,151.0362mm,164.5155mm,180
|
||||
D6_PostIsolation7_Drive4,1N4148,TopLayer,143.7968mm,164.5155mm,143.7968mm,164.5155mm,145.5748mm,164.5155mm,180
|
||||
D6_PostIsolation8_Drive1,1N4148,TopLayer,138.3354mm,164.5155mm,138.3354mm,164.5155mm,140.1134mm,164.5155mm,180
|
||||
D6_PostIsolation8_Drive2,1N4148,TopLayer,132.8740mm,164.5155mm,132.8740mm,164.5155mm,134.6520mm,164.5155mm,180
|
||||
D6_PostIsolation8_Drive3,1N4148,TopLayer,127.4126mm,164.5155mm,127.4126mm,164.5155mm,129.1906mm,164.5155mm,180
|
||||
D6_PostIsolation8_Drive4,1N4148,TopLayer,121.9513mm,164.5155mm,121.9513mm,164.5155mm,123.7293mm,164.5155mm,180
|
||||
D6_PostIsolation9_Drive1,1N4148,TopLayer,116.4899mm,164.5155mm,116.4899mm,164.5155mm,118.2679mm,164.5155mm,180
|
||||
D6_PostIsolation9_Drive2,1N4148,TopLayer,111.0285mm,164.5155mm,111.0285mm,164.5155mm,112.8065mm,164.5155mm,180
|
||||
D6_PostIsolation9_Drive3,1N4148,TopLayer,105.5671mm,164.5155mm,105.5671mm,164.5155mm,107.3451mm,164.5155mm,180
|
||||
D6_PostIsolation9_Drive4,1N4148,TopLayer,100.1057mm,164.5155mm,100.1057mm,164.5155mm,101.8837mm,164.5155mm,180
|
||||
D7,SS34,TopLayer,300.6333mm,158.8502mm,300.6333mm,158.8502mm,299.2083mm,158.8502mm,0
|
||||
D8,LED0603,TopLayer,301.9093mm,130.3210mm,301.9093mm,130.3210mm,301.2093mm,130.3210mm,0
|
||||
D9,LED0603,TopLayer,301.9093mm,125.9261mm,301.9093mm,125.9261mm,301.2093mm,125.9261mm,0
|
||||
L1,FXL0624,TopLayer,285.4452mm,108.5766mm,285.4452mm,108.5766mm,285.4452mm,105.6766mm,90
|
||||
LED1,LED0603,TopLayer,301.9093mm,116.8906mm,301.9093mm,116.8906mm,302.6093mm,116.8906mm,180
|
||||
LED2,LED0603,TopLayer,301.9093mm,109.4237mm,301.9093mm,109.4237mm,301.2093mm,109.4237mm,0
|
||||
Q3_PostIsolation1_Drive1,BSS131H6327XTSA1,BottomLayer,291.7406mm,146.2495mm,291.7406mm,146.2495mm,291.7406mm,147.3495mm,90
|
||||
Q3_PostIsolation1_Drive2,BSS131H6327XTSA1,BottomLayer,286.2794mm,146.2462mm,286.2794mm,146.2462mm,286.2794mm,147.3462mm,90
|
||||
Q3_PostIsolation1_Drive3,BSS131H6327XTSA1,BottomLayer,280.8147mm,146.2462mm,280.8147mm,146.2462mm,280.8147mm,147.3462mm,90
|
||||
Q3_PostIsolation1_Drive4,BSS131H6327XTSA1,BottomLayer,275.3593mm,146.2462mm,275.3593mm,146.2462mm,275.3593mm,147.3462mm,90
|
||||
Q3_PostIsolation10_Drive1,BSS131H6327XTSA1,BottomLayer,95.1308mm,146.2462mm,95.1308mm,146.2462mm,95.1308mm,147.3462mm,90
|
||||
Q3_PostIsolation10_Drive2,BSS131H6327XTSA1,BottomLayer,89.6695mm,146.2462mm,89.6695mm,146.2462mm,89.6695mm,147.3462mm,90
|
||||
Q3_PostIsolation10_Drive3,BSS131H6327XTSA1,BottomLayer,84.2081mm,146.2462mm,84.2081mm,146.2462mm,84.2081mm,147.3462mm,90
|
||||
Q3_PostIsolation10_Drive4,BSS131H6327XTSA1,BottomLayer,78.7467mm,146.2462mm,78.7467mm,146.2462mm,78.7467mm,147.3462mm,90
|
||||
Q3_PostIsolation11_Drive1,BSS131H6327XTSA1,BottomLayer,73.2853mm,146.2462mm,73.2853mm,146.2462mm,73.2853mm,147.3462mm,90
|
||||
Q3_PostIsolation11_Drive2,BSS131H6327XTSA1,BottomLayer,67.8239mm,146.2462mm,67.8239mm,146.2462mm,67.8239mm,147.3462mm,90
|
||||
Q3_PostIsolation11_Drive3,BSS131H6327XTSA1,BottomLayer,62.3625mm,146.2462mm,62.3625mm,146.2462mm,62.3625mm,147.3462mm,90
|
||||
Q3_PostIsolation11_Drive4,BSS131H6327XTSA1,BottomLayer,56.9011mm,146.2462mm,56.9011mm,146.2462mm,56.9011mm,147.3462mm,90
|
||||
Q3_PostIsolation12_Drive1,BSS131H6327XTSA1,BottomLayer,51.4397mm,146.2462mm,51.4397mm,146.2462mm,51.4397mm,147.3462mm,90
|
||||
Q3_PostIsolation12_Drive2,BSS131H6327XTSA1,BottomLayer,45.9784mm,146.2462mm,45.9784mm,146.2462mm,45.9784mm,147.3462mm,90
|
||||
Q3_PostIsolation12_Drive3,BSS131H6327XTSA1,BottomLayer,40.5170mm,146.2462mm,40.5170mm,146.2462mm,40.5170mm,147.3462mm,90
|
||||
Q3_PostIsolation12_Drive4,BSS131H6327XTSA1,BottomLayer,35.0556mm,146.2462mm,35.0556mm,146.2462mm,35.0556mm,147.3462mm,90
|
||||
Q3_PostIsolation2_Drive1,BSS131H6327XTSA1,BottomLayer,269.8952mm,146.2462mm,269.8952mm,146.2462mm,269.8952mm,147.3462mm,90
|
||||
Q3_PostIsolation2_Drive2,BSS131H6327XTSA1,BottomLayer,264.4338mm,146.2462mm,264.4338mm,146.2462mm,264.4338mm,147.3462mm,90
|
||||
Q3_PostIsolation2_Drive3,BSS131H6327XTSA1,BottomLayer,258.9724mm,146.2462mm,258.9724mm,146.2462mm,258.9724mm,147.3462mm,90
|
||||
Q3_PostIsolation2_Drive4,BSS131H6327XTSA1,BottomLayer,253.5110mm,146.2462mm,253.5110mm,146.2462mm,253.5110mm,147.3462mm,90
|
||||
Q3_PostIsolation3_Drive1,BSS131H6327XTSA1,BottomLayer,248.0497mm,146.2462mm,248.0497mm,146.2462mm,248.0497mm,147.3462mm,90
|
||||
Q3_PostIsolation3_Drive2,BSS131H6327XTSA1,BottomLayer,242.5883mm,146.2462mm,242.5883mm,146.2462mm,242.5883mm,147.3462mm,90
|
||||
Q3_PostIsolation3_Drive3,BSS131H6327XTSA1,BottomLayer,237.1269mm,146.2462mm,237.1269mm,146.2462mm,237.1269mm,147.3462mm,90
|
||||
Q3_PostIsolation3_Drive4,BSS131H6327XTSA1,BottomLayer,231.6655mm,146.2462mm,231.6655mm,146.2462mm,231.6655mm,147.3462mm,90
|
||||
Q3_PostIsolation4_Drive1,BSS131H6327XTSA1,BottomLayer,226.2041mm,146.2428mm,226.2041mm,146.2428mm,226.2041mm,147.3428mm,90
|
||||
Q3_PostIsolation4_Drive2,BSS131H6327XTSA1,BottomLayer,220.7427mm,146.2462mm,220.7427mm,146.2462mm,220.7427mm,147.3462mm,90
|
||||
Q3_PostIsolation4_Drive3,BSS131H6327XTSA1,BottomLayer,215.2813mm,146.2462mm,215.2813mm,146.2462mm,215.2813mm,147.3462mm,90
|
||||
Q3_PostIsolation4_Drive4,BSS131H6327XTSA1,BottomLayer,209.8200mm,146.2575mm,209.8200mm,146.2575mm,209.8200mm,147.3575mm,90
|
||||
Q3_PostIsolation5_Drive1,BSS131H6327XTSA1,BottomLayer,204.3586mm,146.2462mm,204.3586mm,146.2462mm,204.3586mm,147.3462mm,90
|
||||
Q3_PostIsolation5_Drive2,BSS131H6327XTSA1,BottomLayer,198.8972mm,146.2462mm,198.8972mm,146.2462mm,198.8972mm,147.3462mm,90
|
||||
Q3_PostIsolation5_Drive3,BSS131H6327XTSA1,BottomLayer,193.4358mm,146.2462mm,193.4358mm,146.2462mm,193.4358mm,147.3462mm,90
|
||||
Q3_PostIsolation5_Drive4,BSS131H6327XTSA1,BottomLayer,187.9744mm,146.2462mm,187.9744mm,146.2462mm,187.9744mm,147.3462mm,90
|
||||
Q3_PostIsolation6_Drive1,BSS131H6327XTSA1,BottomLayer,182.5130mm,146.2462mm,182.5130mm,146.2462mm,182.5130mm,147.3462mm,90
|
||||
Q3_PostIsolation6_Drive2,BSS131H6327XTSA1,BottomLayer,177.0516mm,146.2462mm,177.0516mm,146.2462mm,177.0516mm,147.3462mm,90
|
||||
Q3_PostIsolation6_Drive3,BSS131H6327XTSA1,BottomLayer,171.5903mm,146.2462mm,171.5903mm,146.2462mm,171.5903mm,147.3462mm,90
|
||||
Q3_PostIsolation6_Drive4,BSS131H6327XTSA1,BottomLayer,166.1289mm,146.2462mm,166.1289mm,146.2462mm,166.1289mm,147.3462mm,90
|
||||
Q3_PostIsolation7_Drive1,BSS131H6327XTSA1,BottomLayer,160.6675mm,146.2462mm,160.6675mm,146.2462mm,160.6675mm,147.3462mm,90
|
||||
Q3_PostIsolation7_Drive2,BSS131H6327XTSA1,BottomLayer,155.2061mm,146.2462mm,155.2061mm,146.2462mm,155.2061mm,147.3462mm,90
|
||||
Q3_PostIsolation7_Drive3,BSS131H6327XTSA1,BottomLayer,149.7447mm,146.2462mm,149.7447mm,146.2462mm,149.7447mm,147.3462mm,90
|
||||
Q3_PostIsolation7_Drive4,BSS131H6327XTSA1,BottomLayer,144.2833mm,146.2462mm,144.2833mm,146.2462mm,144.2833mm,147.3462mm,90
|
||||
Q3_PostIsolation8_Drive1,BSS131H6327XTSA1,BottomLayer,138.8219mm,146.2462mm,138.8219mm,146.2462mm,138.8219mm,147.3462mm,90
|
||||
Q3_PostIsolation8_Drive2,BSS131H6327XTSA1,BottomLayer,133.3605mm,146.2462mm,133.3605mm,146.2462mm,133.3605mm,147.3462mm,90
|
||||
Q3_PostIsolation8_Drive3,BSS131H6327XTSA1,BottomLayer,127.8992mm,146.2462mm,127.8992mm,146.2462mm,127.8992mm,147.3462mm,90
|
||||
Q3_PostIsolation8_Drive4,BSS131H6327XTSA1,BottomLayer,122.4378mm,146.2462mm,122.4378mm,146.2462mm,122.4378mm,147.3462mm,90
|
||||
Q3_PostIsolation9_Drive1,BSS131H6327XTSA1,BottomLayer,116.9764mm,146.2462mm,116.9764mm,146.2462mm,116.9764mm,147.3462mm,90
|
||||
Q3_PostIsolation9_Drive2,BSS131H6327XTSA1,BottomLayer,111.5150mm,146.2462mm,111.5150mm,146.2462mm,111.5150mm,147.3462mm,90
|
||||
Q3_PostIsolation9_Drive3,BSS131H6327XTSA1,BottomLayer,106.0536mm,146.2462mm,106.0536mm,146.2462mm,106.0536mm,147.3462mm,90
|
||||
Q3_PostIsolation9_Drive4,BSS131H6327XTSA1,BottomLayer,100.5922mm,146.2462mm,100.5922mm,146.2462mm,100.5922mm,147.3462mm,90
|
||||
Q4,WSD30L40DN,TopLayer,298.5198mm,115.8463mm,298.5198mm,115.8463mm,299.9648mm,116.1713mm,270
|
||||
Q5,2N7002,TopLayer,298.2763mm,112.0740mm,298.2763mm,112.0740mm,297.1763mm,112.0740mm,180
|
||||
Q6,AO3400A,TopLayer,289.3779mm,91.5653mm,289.3779mm,91.5653mm,288.2779mm,92.5153mm,0
|
||||
R1,R0402,TopLayer,169.6692mm,92.4182mm,169.6692mm,92.4182mm,170.0692mm,92.4182mm,180
|
||||
R10,R0402,TopLayer,176.4105mm,81.9883mm,176.4105mm,81.9883mm,176.4105mm,82.3883mm,270
|
||||
R11,R0402,TopLayer,178.1461mm,81.9883mm,178.1461mm,81.9883mm,178.1461mm,82.3883mm,270
|
||||
R12,R0402,TopLayer,179.8818mm,81.9883mm,179.8818mm,81.9883mm,179.8818mm,82.3883mm,270
|
||||
R13,R0402,BottomLayer,230.1883mm,82.9078mm,230.1883mm,82.9078mm,230.1883mm,82.5078mm,90
|
||||
R14_PostIsolation1_Isolation1,R0603,BottomLayer,281.9943mm,128.5202mm,281.9952mm,128.5202mm,281.9943mm,127.8202mm,90
|
||||
R14_PostIsolation10_Isolation10,R0603,BottomLayer,85.3843mm,128.5202mm,85.3851mm,128.5202mm,85.3843mm,127.8202mm,90
|
||||
R14_PostIsolation11_Isolation11,R0603,BottomLayer,63.5387mm,128.5202mm,63.5396mm,128.5202mm,63.5387mm,127.8202mm,90
|
||||
R14_PostIsolation12_Isolation12,R0603,BottomLayer,41.6932mm,128.5202mm,41.6941mm,128.5202mm,41.6932mm,127.8202mm,90
|
||||
R14_PostIsolation2_Isolation2,R0603,BottomLayer,260.1472mm,128.5207mm,260.1481mm,128.5207mm,260.1472mm,127.8207mm,90
|
||||
R14_PostIsolation3_Isolation3,R0603,BottomLayer,238.3017mm,128.5207mm,238.3026mm,128.5207mm,238.3017mm,127.8207mm,90
|
||||
R14_PostIsolation4_Isolation4,R0603,BottomLayer,216.4575mm,128.5315mm,216.4584mm,128.5315mm,216.4575mm,127.8315mm,90
|
||||
R14_PostIsolation5_Isolation5,R0603,BottomLayer,194.6120mm,128.5202mm,194.6129mm,128.5202mm,194.6120mm,127.8202mm,90
|
||||
R14_PostIsolation6_Isolation6,R0603,BottomLayer,172.7664mm,128.5202mm,172.7673mm,128.5202mm,172.7664mm,127.8202mm,90
|
||||
R14_PostIsolation7_Isolation7,R0603,BottomLayer,150.9209mm,128.5202mm,150.9218mm,128.5202mm,150.9209mm,127.8202mm,90
|
||||
R14_PostIsolation8_Isolation8,R0603,BottomLayer,129.0753mm,128.5202mm,129.0762mm,128.5202mm,129.0753mm,127.8202mm,90
|
||||
R14_PostIsolation9_Isolation9,R0603,BottomLayer,107.2298mm,128.5202mm,107.2307mm,128.5202mm,107.2298mm,127.8202mm,90
|
||||
R15_PostIsolation1_Isolation1,R0603,BottomLayer,279.1033mm,128.5202mm,279.1042mm,128.5202mm,279.1033mm,127.8202mm,90
|
||||
R15_PostIsolation10_Isolation10,R0603,BottomLayer,82.4933mm,128.5202mm,82.4942mm,128.5202mm,82.4933mm,127.8202mm,90
|
||||
R15_PostIsolation11_Isolation11,R0603,BottomLayer,60.6477mm,128.5202mm,60.6486mm,128.5202mm,60.6477mm,127.8202mm,90
|
||||
R15_PostIsolation12_Isolation12,R0603,BottomLayer,38.8022mm,128.5202mm,38.8031mm,128.5202mm,38.8022mm,127.8202mm,90
|
||||
R15_PostIsolation2_Isolation2,R0603,BottomLayer,257.2562mm,128.5207mm,257.2571mm,128.5207mm,257.2562mm,127.8207mm,90
|
||||
R15_PostIsolation3_Isolation3,R0603,BottomLayer,235.4107mm,128.5207mm,235.4116mm,128.5207mm,235.4107mm,127.8207mm,90
|
||||
R15_PostIsolation4_Isolation4,R0603,BottomLayer,213.5665mm,128.5315mm,213.5674mm,128.5315mm,213.5665mm,127.8315mm,90
|
||||
R15_PostIsolation5_Isolation5,R0603,BottomLayer,191.7210mm,128.5202mm,191.7219mm,128.5202mm,191.7210mm,127.8202mm,90
|
||||
R15_PostIsolation6_Isolation6,R0603,BottomLayer,169.8754mm,128.5202mm,169.8763mm,128.5202mm,169.8754mm,127.8202mm,90
|
||||
R15_PostIsolation7_Isolation7,R0603,BottomLayer,148.0299mm,128.5202mm,148.0308mm,128.5202mm,148.0299mm,127.8202mm,90
|
||||
R15_PostIsolation8_Isolation8,R0603,BottomLayer,126.1843mm,128.5202mm,126.1852mm,128.5202mm,126.1843mm,127.8202mm,90
|
||||
R15_PostIsolation9_Isolation9,R0603,BottomLayer,104.3388mm,128.5202mm,104.3397mm,128.5202mm,104.3388mm,127.8202mm,90
|
||||
R16_PostIsolation1_Isolation1,R0603,BottomLayer,276.2124mm,128.5202mm,276.2133mm,128.5202mm,276.2124mm,127.8202mm,90
|
||||
R16_PostIsolation10_Isolation10,R0603,BottomLayer,79.6023mm,128.5202mm,79.6032mm,128.5202mm,79.6023mm,127.8202mm,90
|
||||
R16_PostIsolation11_Isolation11,R0603,BottomLayer,57.7567mm,128.5202mm,57.7576mm,128.5202mm,57.7567mm,127.8202mm,90
|
||||
R16_PostIsolation12_Isolation12,R0603,BottomLayer,35.9112mm,128.5202mm,35.9121mm,128.5202mm,35.9112mm,127.8202mm,90
|
||||
R16_PostIsolation2_Isolation2,R0603,BottomLayer,254.3652mm,128.5207mm,254.3661mm,128.5207mm,254.3652mm,127.8207mm,90
|
||||
R16_PostIsolation3_Isolation3,R0603,BottomLayer,232.5197mm,128.5207mm,232.5206mm,128.5207mm,232.5197mm,127.8207mm,90
|
||||
R16_PostIsolation4_Isolation4,R0603,BottomLayer,210.6755mm,128.5315mm,210.6764mm,128.5315mm,210.6755mm,127.8315mm,90
|
||||
R16_PostIsolation5_Isolation5,R0603,BottomLayer,188.8300mm,128.5202mm,188.8309mm,128.5202mm,188.8300mm,127.8202mm,90
|
||||
R16_PostIsolation6_Isolation6,R0603,BottomLayer,166.9844mm,128.5202mm,166.9853mm,128.5202mm,166.9844mm,127.8202mm,90
|
||||
R16_PostIsolation7_Isolation7,R0603,BottomLayer,145.1389mm,128.5202mm,145.1398mm,128.5202mm,145.1389mm,127.8202mm,90
|
||||
R16_PostIsolation8_Isolation8,R0603,BottomLayer,123.2934mm,128.5202mm,123.2943mm,128.5202mm,123.2934mm,127.8202mm,90
|
||||
R16_PostIsolation9_Isolation9,R0603,BottomLayer,101.4478mm,128.5202mm,101.4487mm,128.5202mm,101.4478mm,127.8202mm,90
|
||||
R17_PostIsolation1_Isolation1,R0603,BottomLayer,273.3214mm,128.5202mm,273.3223mm,128.5202mm,273.3214mm,127.8202mm,90
|
||||
R17_PostIsolation10_Isolation10,R0603,BottomLayer,76.7113mm,128.5202mm,76.7122mm,128.5202mm,76.7113mm,127.8202mm,90
|
||||
R17_PostIsolation11_Isolation11,R0603,BottomLayer,54.8657mm,128.5202mm,54.8666mm,128.5202mm,54.8657mm,127.8202mm,90
|
||||
R17_PostIsolation12_Isolation12,R0603,BottomLayer,33.0202mm,128.5202mm,33.0211mm,128.5202mm,33.0202mm,127.8202mm,90
|
||||
R17_PostIsolation2_Isolation2,R0603,BottomLayer,251.4742mm,128.5207mm,251.4751mm,128.5207mm,251.4742mm,127.8207mm,90
|
||||
R17_PostIsolation3_Isolation3,R0603,BottomLayer,229.6287mm,128.5207mm,229.6296mm,128.5207mm,229.6287mm,127.8207mm,90
|
||||
R17_PostIsolation4_Isolation4,R0603,BottomLayer,207.7845mm,128.5315mm,207.7854mm,128.5315mm,207.7845mm,127.8315mm,90
|
||||
R17_PostIsolation5_Isolation5,R0603,BottomLayer,185.9390mm,128.5202mm,185.9399mm,128.5202mm,185.9390mm,127.8202mm,90
|
||||
R17_PostIsolation6_Isolation6,R0603,BottomLayer,164.0935mm,128.5202mm,164.0943mm,128.5202mm,164.0935mm,127.8202mm,90
|
||||
R17_PostIsolation7_Isolation7,R0603,BottomLayer,142.2479mm,128.5202mm,142.2488mm,128.5202mm,142.2479mm,127.8202mm,90
|
||||
R17_PostIsolation8_Isolation8,R0603,BottomLayer,120.4024mm,128.5202mm,120.4033mm,128.5202mm,120.4024mm,127.8202mm,90
|
||||
R17_PostIsolation9_Isolation9,R0603,BottomLayer,98.5568mm,128.5202mm,98.5577mm,128.5202mm,98.5568mm,127.8202mm,90
|
||||
R18_PostIsolation1_Isolation1,R0603,TopLayer,284.1492mm,128.5202mm,284.1483mm,128.5202mm,284.1492mm,127.8202mm,90
|
||||
R18_PostIsolation10_Isolation10,R0603,TopLayer,87.5391mm,128.5202mm,87.5382mm,128.5202mm,87.5391mm,127.8202mm,90
|
||||
R18_PostIsolation11_Isolation11,R0603,TopLayer,65.6936mm,128.5202mm,65.6927mm,128.5202mm,65.6936mm,127.8202mm,90
|
||||
R18_PostIsolation12_Isolation12,R0603,TopLayer,43.8480mm,128.5202mm,43.8471mm,128.5202mm,43.8480mm,127.8202mm,90
|
||||
R18_PostIsolation2_Isolation2,R0603,TopLayer,262.3020mm,128.5207mm,262.3012mm,128.5207mm,262.3020mm,127.8207mm,90
|
||||
R18_PostIsolation3_Isolation3,R0603,TopLayer,240.4565mm,128.5207mm,240.4556mm,128.5207mm,240.4565mm,127.8207mm,90
|
||||
R18_PostIsolation4_Isolation4,R0603,TopLayer,218.6124mm,128.5315mm,218.6115mm,128.5315mm,218.6124mm,127.8315mm,90
|
||||
R18_PostIsolation5_Isolation5,R0603,TopLayer,196.7668mm,128.5202mm,196.7659mm,128.5202mm,196.7668mm,127.8202mm,90
|
||||
R18_PostIsolation6_Isolation6,R0603,TopLayer,174.9213mm,128.5202mm,174.9204mm,128.5202mm,174.9213mm,127.8202mm,90
|
||||
R18_PostIsolation7_Isolation7,R0603,TopLayer,153.0757mm,128.5202mm,153.0748mm,128.5202mm,153.0757mm,127.8202mm,90
|
||||
R18_PostIsolation8_Isolation8,R0603,TopLayer,131.2302mm,128.5202mm,131.2293mm,128.5202mm,131.2302mm,127.8202mm,90
|
||||
R18_PostIsolation9_Isolation9,R0603,TopLayer,109.3846mm,128.5202mm,109.3837mm,128.5202mm,109.3846mm,127.8202mm,90
|
||||
R19_PostIsolation1_Isolation1,R0603,TopLayer,286.6463mm,128.5202mm,286.6454mm,128.5202mm,286.6463mm,127.8202mm,90
|
||||
R19_PostIsolation10_Isolation10,R0603,TopLayer,90.0362mm,128.5202mm,90.0353mm,128.5202mm,90.0362mm,127.8202mm,90
|
||||
R19_PostIsolation11_Isolation11,R0603,TopLayer,68.1907mm,128.5202mm,68.1898mm,128.5202mm,68.1907mm,127.8202mm,90
|
||||
R19_PostIsolation12_Isolation12,R0603,TopLayer,46.3451mm,128.5202mm,46.3443mm,128.5202mm,46.3451mm,127.8202mm,90
|
||||
R19_PostIsolation2_Isolation2,R0603,TopLayer,264.7992mm,128.5207mm,264.7983mm,128.5207mm,264.7992mm,127.8207mm,90
|
||||
R19_PostIsolation3_Isolation3,R0603,TopLayer,242.9537mm,128.5207mm,242.9528mm,128.5207mm,242.9537mm,127.8207mm,90
|
||||
R19_PostIsolation4_Isolation4,R0603,TopLayer,221.1095mm,128.5315mm,221.1086mm,128.5315mm,221.1095mm,127.8315mm,90
|
||||
R19_PostIsolation5_Isolation5,R0603,TopLayer,199.2640mm,128.5202mm,199.2631mm,128.5202mm,199.2640mm,127.8202mm,90
|
||||
R19_PostIsolation6_Isolation6,R0603,TopLayer,177.4184mm,128.5202mm,177.4175mm,128.5202mm,177.4184mm,127.8202mm,90
|
||||
R19_PostIsolation7_Isolation7,R0603,TopLayer,155.5729mm,128.5202mm,155.5720mm,128.5202mm,155.5729mm,127.8202mm,90
|
||||
R19_PostIsolation8_Isolation8,R0603,TopLayer,133.7273mm,128.5202mm,133.7264mm,128.5202mm,133.7273mm,127.8202mm,90
|
||||
R19_PostIsolation9_Isolation9,R0603,TopLayer,111.8818mm,128.5202mm,111.8809mm,128.5202mm,111.8818mm,127.8202mm,90
|
||||
R2,R0402,TopLayer,203.9058mm,88.0672mm,203.9058mm,88.0672mm,203.9058mm,87.6672mm,90
|
||||
R20_PostIsolation1_Isolation1,R0603,TopLayer,289.1471mm,128.5202mm,289.1462mm,128.5202mm,289.1471mm,127.8202mm,90
|
||||
R20_PostIsolation10_Isolation10,R0603,TopLayer,92.5370mm,128.5202mm,92.5361mm,128.5202mm,92.5370mm,127.8202mm,90
|
||||
R20_PostIsolation11_Isolation11,R0603,TopLayer,70.6914mm,128.5202mm,70.6905mm,128.5202mm,70.6914mm,127.8202mm,90
|
||||
R20_PostIsolation12_Isolation12,R0603,TopLayer,48.8459mm,128.5202mm,48.8450mm,128.5202mm,48.8459mm,127.8202mm,90
|
||||
R20_PostIsolation2_Isolation2,R0603,TopLayer,267.2999mm,128.5207mm,267.2990mm,128.5207mm,267.2999mm,127.8207mm,90
|
||||
R20_PostIsolation3_Isolation3,R0603,TopLayer,245.4544mm,128.5207mm,245.4535mm,128.5207mm,245.4544mm,127.8207mm,90
|
||||
R20_PostIsolation4_Isolation4,R0603,TopLayer,223.6102mm,128.5315mm,223.6093mm,128.5315mm,223.6102mm,127.8315mm,90
|
||||
R20_PostIsolation5_Isolation5,R0603,TopLayer,201.7647mm,128.5202mm,201.7638mm,128.5202mm,201.7647mm,127.8202mm,90
|
||||
R20_PostIsolation6_Isolation6,R0603,TopLayer,179.9191mm,128.5202mm,179.9183mm,128.5202mm,179.9191mm,127.8202mm,90
|
||||
R20_PostIsolation7_Isolation7,R0603,TopLayer,158.0736mm,128.5202mm,158.0727mm,128.5202mm,158.0736mm,127.8202mm,90
|
||||
R20_PostIsolation8_Isolation8,R0603,TopLayer,136.2281mm,128.5202mm,136.2272mm,128.5202mm,136.2281mm,127.8202mm,90
|
||||
R20_PostIsolation9_Isolation9,R0603,TopLayer,114.3825mm,128.5202mm,114.3816mm,128.5202mm,114.3825mm,127.8202mm,90
|
||||
R21_PostIsolation1_Isolation1,R0603,TopLayer,291.6460mm,128.5202mm,291.6451mm,128.5202mm,291.6460mm,127.8202mm,90
|
||||
R21_PostIsolation10_Isolation10,R0603,TopLayer,95.0359mm,128.5202mm,95.0350mm,128.5202mm,95.0359mm,127.8202mm,90
|
||||
R21_PostIsolation11_Isolation11,R0603,TopLayer,73.1904mm,128.5202mm,73.1895mm,128.5202mm,73.1904mm,127.8202mm,90
|
||||
R21_PostIsolation12_Isolation12,R0603,TopLayer,51.3448mm,128.5202mm,51.3439mm,128.5202mm,51.3448mm,127.8202mm,90
|
||||
R21_PostIsolation2_Isolation2,R0603,TopLayer,269.7989mm,128.5207mm,269.7980mm,128.5207mm,269.7989mm,127.8207mm,90
|
||||
R21_PostIsolation3_Isolation3,R0603,TopLayer,247.9533mm,128.5207mm,247.9524mm,128.5207mm,247.9533mm,127.8207mm,90
|
||||
R21_PostIsolation4_Isolation4,R0603,TopLayer,226.1092mm,128.5315mm,226.1083mm,128.5315mm,226.1092mm,127.8315mm,90
|
||||
R21_PostIsolation5_Isolation5,R0603,TopLayer,204.2636mm,128.5202mm,204.2627mm,128.5202mm,204.2636mm,127.8202mm,90
|
||||
R21_PostIsolation6_Isolation6,R0603,TopLayer,182.4181mm,128.5202mm,182.4172mm,128.5202mm,182.4181mm,127.8202mm,90
|
||||
R21_PostIsolation7_Isolation7,R0603,TopLayer,160.5725mm,128.5202mm,160.5716mm,128.5202mm,160.5725mm,127.8202mm,90
|
||||
R21_PostIsolation8_Isolation8,R0603,TopLayer,138.7270mm,128.5202mm,138.7261mm,128.5202mm,138.7270mm,127.8202mm,90
|
||||
R21_PostIsolation9_Isolation9,R0603,TopLayer,116.8815mm,128.5202mm,116.8806mm,128.5202mm,116.8815mm,127.8202mm,90
|
||||
R22_PostIsolation1_Drive1,R0805,TopLayer,290.3519mm,143.0124mm,290.3519mm,143.0124mm,289.5269mm,143.0124mm,0
|
||||
R22_PostIsolation1_Drive2,R0805,TopLayer,284.8907mm,143.0091mm,284.8907mm,143.0091mm,284.0657mm,143.0091mm,0
|
||||
R22_PostIsolation1_Drive3,R0805,TopLayer,279.4260mm,143.0091mm,279.4260mm,143.0091mm,278.6010mm,143.0091mm,0
|
||||
R22_PostIsolation1_Drive4,R0805,TopLayer,273.9706mm,143.0091mm,273.9706mm,143.0091mm,273.1456mm,143.0091mm,0
|
||||
R22_PostIsolation10_Drive1,R0805,TopLayer,93.7421mm,143.0091mm,93.7421mm,143.0091mm,92.9171mm,143.0091mm,0
|
||||
R22_PostIsolation10_Drive2,R0805,TopLayer,88.2807mm,143.0091mm,88.2807mm,143.0091mm,87.4557mm,143.0091mm,0
|
||||
R22_PostIsolation10_Drive3,R0805,TopLayer,82.8193mm,143.0091mm,82.8193mm,143.0091mm,81.9943mm,143.0091mm,0
|
||||
R22_PostIsolation10_Drive4,R0805,TopLayer,77.3580mm,143.0091mm,77.3580mm,143.0091mm,76.5330mm,143.0091mm,0
|
||||
R22_PostIsolation11_Drive1,R0805,TopLayer,71.8966mm,143.0091mm,71.8966mm,143.0091mm,71.0716mm,143.0091mm,0
|
||||
R22_PostIsolation11_Drive2,R0805,TopLayer,66.4352mm,143.0091mm,66.4352mm,143.0091mm,65.6102mm,143.0091mm,0
|
||||
R22_PostIsolation11_Drive3,R0805,TopLayer,60.9738mm,143.0091mm,60.9738mm,143.0091mm,60.1488mm,143.0091mm,0
|
||||
R22_PostIsolation11_Drive4,R0805,TopLayer,55.5124mm,143.0091mm,55.5124mm,143.0091mm,54.6874mm,143.0091mm,0
|
||||
R22_PostIsolation12_Drive1,R0805,TopLayer,50.0510mm,143.0091mm,50.0510mm,143.0091mm,49.2260mm,143.0091mm,0
|
||||
R22_PostIsolation12_Drive2,R0805,TopLayer,44.5896mm,143.0091mm,44.5896mm,143.0091mm,43.7646mm,143.0091mm,0
|
||||
R22_PostIsolation12_Drive3,R0805,TopLayer,39.1283mm,143.0091mm,39.1283mm,143.0091mm,38.3033mm,143.0091mm,0
|
||||
R22_PostIsolation12_Drive4,R0805,TopLayer,33.6669mm,143.0091mm,33.6669mm,143.0091mm,32.8419mm,143.0091mm,0
|
||||
R22_PostIsolation2_Drive1,R0805,TopLayer,268.5065mm,143.0091mm,268.5065mm,143.0091mm,267.6815mm,143.0091mm,0
|
||||
R22_PostIsolation2_Drive2,R0805,TopLayer,263.0451mm,143.0091mm,263.0451mm,143.0091mm,262.2201mm,143.0091mm,0
|
||||
R22_PostIsolation2_Drive3,R0805,TopLayer,257.5837mm,143.0091mm,257.5837mm,143.0091mm,256.7587mm,143.0091mm,0
|
||||
R22_PostIsolation2_Drive4,R0805,TopLayer,252.1223mm,143.0091mm,252.1223mm,143.0091mm,251.2973mm,143.0091mm,0
|
||||
R22_PostIsolation3_Drive1,R0805,TopLayer,246.6609mm,143.0091mm,246.6609mm,143.0091mm,245.8359mm,143.0091mm,0
|
||||
R22_PostIsolation3_Drive2,R0805,TopLayer,241.1995mm,143.0091mm,241.1995mm,143.0091mm,240.3745mm,143.0091mm,0
|
||||
R22_PostIsolation3_Drive3,R0805,TopLayer,235.7382mm,143.0091mm,235.7382mm,143.0091mm,234.9132mm,143.0091mm,0
|
||||
R22_PostIsolation3_Drive4,R0805,TopLayer,230.2768mm,143.0091mm,230.2768mm,143.0091mm,229.4518mm,143.0091mm,0
|
||||
R22_PostIsolation4_Drive1,R0805,TopLayer,224.8154mm,143.0057mm,224.8154mm,143.0057mm,223.9904mm,143.0057mm,0
|
||||
R22_PostIsolation4_Drive2,R0805,TopLayer,219.3540mm,143.0091mm,219.3540mm,143.0091mm,218.5290mm,143.0091mm,0
|
||||
R22_PostIsolation4_Drive3,R0805,TopLayer,213.8926mm,143.0091mm,213.8926mm,143.0091mm,213.0676mm,143.0091mm,0
|
||||
R22_PostIsolation4_Drive4,R0805,TopLayer,208.4312mm,143.0204mm,208.4312mm,143.0204mm,207.6062mm,143.0204mm,0
|
||||
R22_PostIsolation5_Drive1,R0805,TopLayer,202.9698mm,143.0091mm,202.9698mm,143.0091mm,202.1448mm,143.0091mm,0
|
||||
R22_PostIsolation5_Drive2,R0805,TopLayer,197.5085mm,143.0091mm,197.5085mm,143.0091mm,196.6835mm,143.0091mm,0
|
||||
R22_PostIsolation5_Drive3,R0805,TopLayer,192.0471mm,143.0091mm,192.0471mm,143.0091mm,191.2221mm,143.0091mm,0
|
||||
R22_PostIsolation5_Drive4,R0805,TopLayer,186.5857mm,143.0091mm,186.5857mm,143.0091mm,185.7607mm,143.0091mm,0
|
||||
R22_PostIsolation6_Drive1,R0805,TopLayer,181.1243mm,143.0091mm,181.1243mm,143.0091mm,180.2993mm,143.0091mm,0
|
||||
R22_PostIsolation6_Drive2,R0805,TopLayer,175.6629mm,143.0091mm,175.6629mm,143.0091mm,174.8379mm,143.0091mm,0
|
||||
R22_PostIsolation6_Drive3,R0805,TopLayer,170.2015mm,143.0091mm,170.2015mm,143.0091mm,169.3765mm,143.0091mm,0
|
||||
R22_PostIsolation6_Drive4,R0805,TopLayer,164.7401mm,143.0091mm,164.7401mm,143.0091mm,163.9151mm,143.0091mm,0
|
||||
R22_PostIsolation7_Drive1,R0805,TopLayer,159.2788mm,143.0091mm,159.2788mm,143.0091mm,158.4538mm,143.0091mm,0
|
||||
R22_PostIsolation7_Drive2,R0805,TopLayer,153.8174mm,143.0091mm,153.8174mm,143.0091mm,152.9924mm,143.0091mm,0
|
||||
R22_PostIsolation7_Drive3,R0805,TopLayer,148.3560mm,143.0091mm,148.3560mm,143.0091mm,147.5310mm,143.0091mm,0
|
||||
R22_PostIsolation7_Drive4,R0805,TopLayer,142.8946mm,143.0091mm,142.8946mm,143.0091mm,142.0696mm,143.0091mm,0
|
||||
R22_PostIsolation8_Drive1,R0805,TopLayer,137.4332mm,143.0091mm,137.4332mm,143.0091mm,136.6082mm,143.0091mm,0
|
||||
R22_PostIsolation8_Drive2,R0805,TopLayer,131.9718mm,143.0091mm,131.9718mm,143.0091mm,131.1468mm,143.0091mm,0
|
||||
R22_PostIsolation8_Drive3,R0805,TopLayer,126.5104mm,143.0091mm,126.5104mm,143.0091mm,125.6854mm,143.0091mm,0
|
||||
R22_PostIsolation8_Drive4,R0805,TopLayer,121.0490mm,143.0091mm,121.0490mm,143.0091mm,120.2240mm,143.0091mm,0
|
||||
R22_PostIsolation9_Drive1,R0805,TopLayer,115.5877mm,143.0091mm,115.5877mm,143.0091mm,114.7627mm,143.0091mm,0
|
||||
R22_PostIsolation9_Drive2,R0805,TopLayer,110.1263mm,143.0091mm,110.1263mm,143.0091mm,109.3013mm,143.0091mm,0
|
||||
R22_PostIsolation9_Drive3,R0805,TopLayer,104.6649mm,143.0091mm,104.6649mm,143.0091mm,103.8399mm,143.0091mm,0
|
||||
R22_PostIsolation9_Drive4,R0805,TopLayer,99.2035mm,143.0091mm,99.2035mm,143.0091mm,98.3785mm,143.0091mm,0
|
||||
R23_PostIsolation1_Drive1,R2512,BottomLayer,291.3665mm,161.9506mm,291.3665mm,161.9506mm,291.3665mm,164.8506mm,270
|
||||
R23_PostIsolation1_Drive2,R2512,BottomLayer,285.9052mm,161.9474mm,285.9052mm,161.9474mm,285.9052mm,164.8474mm,270
|
||||
R23_PostIsolation1_Drive3,R2512,BottomLayer,280.4405mm,161.9474mm,280.4405mm,161.9474mm,280.4405mm,164.8474mm,270
|
||||
R23_PostIsolation1_Drive4,R2512,BottomLayer,274.9851mm,161.9474mm,274.9851mm,161.9474mm,274.9851mm,164.8474mm,270
|
||||
R23_PostIsolation10_Drive1,R2512,BottomLayer,94.7566mm,161.9474mm,94.7566mm,161.9474mm,94.7566mm,164.8474mm,270
|
||||
R23_PostIsolation10_Drive2,R2512,BottomLayer,89.2953mm,161.9474mm,89.2953mm,161.9474mm,89.2953mm,164.8474mm,270
|
||||
R23_PostIsolation10_Drive3,R2512,BottomLayer,83.8339mm,161.9474mm,83.8339mm,161.9474mm,83.8339mm,164.8474mm,270
|
||||
R23_PostIsolation10_Drive4,R2512,BottomLayer,78.3725mm,161.9474mm,78.3725mm,161.9474mm,78.3725mm,164.8474mm,270
|
||||
R23_PostIsolation11_Drive1,R2512,BottomLayer,72.9111mm,161.9474mm,72.9111mm,161.9474mm,72.9111mm,164.8474mm,270
|
||||
R23_PostIsolation11_Drive2,R2512,BottomLayer,67.4497mm,161.9474mm,67.4497mm,161.9474mm,67.4497mm,164.8474mm,270
|
||||
R23_PostIsolation11_Drive3,R2512,BottomLayer,61.9883mm,161.9474mm,61.9883mm,161.9474mm,61.9883mm,164.8474mm,270
|
||||
R23_PostIsolation11_Drive4,R2512,BottomLayer,56.5270mm,161.9474mm,56.5270mm,161.9474mm,56.5270mm,164.8474mm,270
|
||||
R23_PostIsolation12_Drive1,R2512,BottomLayer,51.0656mm,161.9474mm,51.0656mm,161.9474mm,51.0656mm,164.8474mm,270
|
||||
R23_PostIsolation12_Drive2,R2512,BottomLayer,45.6042mm,161.9474mm,45.6042mm,161.9474mm,45.6042mm,164.8474mm,270
|
||||
R23_PostIsolation12_Drive3,R2512,BottomLayer,40.1428mm,161.9474mm,40.1428mm,161.9474mm,40.1428mm,164.8474mm,270
|
||||
R23_PostIsolation12_Drive4,R2512,BottomLayer,34.6814mm,161.9474mm,34.6814mm,161.9474mm,34.6814mm,164.8474mm,270
|
||||
R23_PostIsolation2_Drive1,R2512,BottomLayer,269.5210mm,161.9474mm,269.5210mm,161.9474mm,269.5210mm,164.8474mm,270
|
||||
R23_PostIsolation2_Drive2,R2512,BottomLayer,264.0596mm,161.9474mm,264.0596mm,161.9474mm,264.0596mm,164.8474mm,270
|
||||
R23_PostIsolation2_Drive3,R2512,BottomLayer,258.5982mm,161.9474mm,258.5982mm,161.9474mm,258.5982mm,164.8474mm,270
|
||||
R23_PostIsolation2_Drive4,R2512,BottomLayer,253.1369mm,161.9474mm,253.1369mm,161.9474mm,253.1369mm,164.8474mm,270
|
||||
R23_PostIsolation3_Drive1,R2512,BottomLayer,247.6755mm,161.9474mm,247.6755mm,161.9474mm,247.6755mm,164.8474mm,270
|
||||
R23_PostIsolation3_Drive2,R2512,BottomLayer,242.2141mm,161.9474mm,242.2141mm,161.9474mm,242.2141mm,164.8474mm,270
|
||||
R23_PostIsolation3_Drive3,R2512,BottomLayer,236.7527mm,161.9474mm,236.7527mm,161.9474mm,236.7527mm,164.8474mm,270
|
||||
R23_PostIsolation3_Drive4,R2512,BottomLayer,231.2913mm,161.9474mm,231.2913mm,161.9474mm,231.2913mm,164.8474mm,270
|
||||
R23_PostIsolation4_Drive1,R2512,BottomLayer,225.8299mm,161.9440mm,225.8299mm,161.9440mm,225.8299mm,164.8440mm,270
|
||||
R23_PostIsolation4_Drive2,R2512,BottomLayer,220.3685mm,161.9474mm,220.3685mm,161.9474mm,220.3685mm,164.8474mm,270
|
||||
R23_PostIsolation4_Drive3,R2512,BottomLayer,214.9071mm,161.9474mm,214.9071mm,161.9474mm,214.9071mm,164.8474mm,270
|
||||
R23_PostIsolation4_Drive4,R2512,BottomLayer,209.4458mm,161.9587mm,209.4458mm,161.9587mm,209.4458mm,164.8587mm,270
|
||||
R23_PostIsolation5_Drive1,R2512,BottomLayer,203.9844mm,161.9474mm,203.9844mm,161.9474mm,203.9844mm,164.8474mm,270
|
||||
R23_PostIsolation5_Drive2,R2512,BottomLayer,198.5230mm,161.9474mm,198.5230mm,161.9474mm,198.5230mm,164.8474mm,270
|
||||
R23_PostIsolation5_Drive3,R2512,BottomLayer,193.0616mm,161.9474mm,193.0616mm,161.9474mm,193.0616mm,164.8474mm,270
|
||||
R23_PostIsolation5_Drive4,R2512,BottomLayer,187.6002mm,161.9474mm,187.6002mm,161.9474mm,187.6002mm,164.8474mm,270
|
||||
R23_PostIsolation6_Drive1,R2512,BottomLayer,182.1388mm,161.9474mm,182.1388mm,161.9474mm,182.1388mm,164.8474mm,270
|
||||
R23_PostIsolation6_Drive2,R2512,BottomLayer,176.6774mm,161.9474mm,176.6774mm,161.9474mm,176.6774mm,164.8474mm,270
|
||||
R23_PostIsolation6_Drive3,R2512,BottomLayer,171.2161mm,161.9474mm,171.2161mm,161.9474mm,171.2161mm,164.8474mm,270
|
||||
R23_PostIsolation6_Drive4,R2512,BottomLayer,165.7547mm,161.9474mm,165.7547mm,161.9474mm,165.7547mm,164.8474mm,270
|
||||
R23_PostIsolation7_Drive1,R2512,BottomLayer,160.2933mm,161.9474mm,160.2933mm,161.9474mm,160.2933mm,164.8474mm,270
|
||||
R23_PostIsolation7_Drive2,R2512,BottomLayer,154.8319mm,161.9474mm,154.8319mm,161.9474mm,154.8319mm,164.8474mm,270
|
||||
R23_PostIsolation7_Drive3,R2512,BottomLayer,149.3705mm,161.9474mm,149.3705mm,161.9474mm,149.3705mm,164.8474mm,270
|
||||
R23_PostIsolation7_Drive4,R2512,BottomLayer,143.9091mm,161.9474mm,143.9091mm,161.9474mm,143.9091mm,164.8474mm,270
|
||||
R23_PostIsolation8_Drive1,R2512,BottomLayer,138.4477mm,161.9474mm,138.4477mm,161.9474mm,138.4477mm,164.8474mm,270
|
||||
R23_PostIsolation8_Drive2,R2512,BottomLayer,132.9863mm,161.9474mm,132.9863mm,161.9474mm,132.9863mm,164.8474mm,270
|
||||
R23_PostIsolation8_Drive3,R2512,BottomLayer,127.5250mm,161.9474mm,127.5250mm,161.9474mm,127.5250mm,164.8474mm,270
|
||||
R23_PostIsolation8_Drive4,R2512,BottomLayer,122.0636mm,161.9474mm,122.0636mm,161.9474mm,122.0636mm,164.8474mm,270
|
||||
R23_PostIsolation9_Drive1,R2512,BottomLayer,116.6022mm,161.9474mm,116.6022mm,161.9474mm,116.6022mm,164.8474mm,270
|
||||
R23_PostIsolation9_Drive2,R2512,BottomLayer,111.1408mm,161.9474mm,111.1408mm,161.9474mm,111.1408mm,164.8474mm,270
|
||||
R23_PostIsolation9_Drive3,R2512,BottomLayer,105.6794mm,161.9474mm,105.6794mm,161.9474mm,105.6794mm,164.8474mm,270
|
||||
R23_PostIsolation9_Drive4,R2512,BottomLayer,100.2180mm,161.9474mm,100.2180mm,161.9474mm,100.2180mm,164.8474mm,270
|
||||
R24_PostIsolation1_Drive1,R0603,TopLayer,289.4426mm,161.5907mm,289.4417mm,161.5907mm,289.4426mm,160.8907mm,90
|
||||
R24_PostIsolation1_Drive2,R0603,TopLayer,283.9813mm,161.5874mm,283.9804mm,161.5874mm,283.9813mm,160.8874mm,90
|
||||
R24_PostIsolation1_Drive3,R0603,TopLayer,278.5166mm,161.5874mm,278.5157mm,161.5874mm,278.5166mm,160.8874mm,90
|
||||
R24_PostIsolation1_Drive4,R0603,TopLayer,273.0612mm,161.5874mm,273.0603mm,161.5874mm,273.0612mm,160.8874mm,90
|
||||
R24_PostIsolation10_Drive1,R0603,TopLayer,92.8328mm,161.5874mm,92.8319mm,161.5874mm,92.8328mm,160.8874mm,90
|
||||
R24_PostIsolation10_Drive2,R0603,TopLayer,87.3714mm,161.5874mm,87.3705mm,161.5874mm,87.3714mm,160.8874mm,90
|
||||
R24_PostIsolation10_Drive3,R0603,TopLayer,81.9100mm,161.5874mm,81.9091mm,161.5874mm,81.9100mm,160.8874mm,90
|
||||
R24_PostIsolation10_Drive4,R0603,TopLayer,76.4486mm,161.5874mm,76.4477mm,161.5874mm,76.4486mm,160.8874mm,90
|
||||
R24_PostIsolation11_Drive1,R0603,TopLayer,70.9872mm,161.5874mm,70.9863mm,161.5874mm,70.9872mm,160.8874mm,90
|
||||
R24_PostIsolation11_Drive2,R0603,TopLayer,65.5258mm,161.5874mm,65.5249mm,161.5874mm,65.5258mm,160.8874mm,90
|
||||
R24_PostIsolation11_Drive3,R0603,TopLayer,60.0644mm,161.5874mm,60.0635mm,161.5874mm,60.0644mm,160.8874mm,90
|
||||
R24_PostIsolation11_Drive4,R0603,TopLayer,54.6031mm,161.5874mm,54.6022mm,161.5874mm,54.6031mm,160.8874mm,90
|
||||
R24_PostIsolation12_Drive1,R0603,TopLayer,49.1417mm,161.5874mm,49.1408mm,161.5874mm,49.1417mm,160.8874mm,90
|
||||
R24_PostIsolation12_Drive2,R0603,TopLayer,43.6803mm,161.5874mm,43.6794mm,161.5874mm,43.6803mm,160.8874mm,90
|
||||
R24_PostIsolation12_Drive3,R0603,TopLayer,38.2189mm,161.5874mm,38.2180mm,161.5874mm,38.2189mm,160.8874mm,90
|
||||
R24_PostIsolation12_Drive4,R0603,TopLayer,32.7575mm,161.5874mm,32.7566mm,161.5874mm,32.7575mm,160.8874mm,90
|
||||
R24_PostIsolation2_Drive1,R0603,TopLayer,267.5971mm,161.5874mm,267.5962mm,161.5874mm,267.5971mm,160.8874mm,90
|
||||
R24_PostIsolation2_Drive2,R0603,TopLayer,262.1357mm,161.5874mm,262.1348mm,161.5874mm,262.1357mm,160.8874mm,90
|
||||
R24_PostIsolation2_Drive3,R0603,TopLayer,256.6743mm,161.5874mm,256.6734mm,161.5874mm,256.6743mm,160.8874mm,90
|
||||
R24_PostIsolation2_Drive4,R0603,TopLayer,251.2129mm,161.5874mm,251.2121mm,161.5874mm,251.2129mm,160.8874mm,90
|
||||
R24_PostIsolation3_Drive1,R0603,TopLayer,245.7516mm,161.5874mm,245.7507mm,161.5874mm,245.7516mm,160.8874mm,90
|
||||
R24_PostIsolation3_Drive2,R0603,TopLayer,240.2902mm,161.5874mm,240.2893mm,161.5874mm,240.2902mm,160.8874mm,90
|
||||
R24_PostIsolation3_Drive3,R0603,TopLayer,234.8288mm,161.5874mm,234.8279mm,161.5874mm,234.8288mm,160.8874mm,90
|
||||
R24_PostIsolation3_Drive4,R0603,TopLayer,229.3674mm,161.5874mm,229.3665mm,161.5874mm,229.3674mm,160.8874mm,90
|
||||
R24_PostIsolation4_Drive1,R0603,TopLayer,223.9060mm,161.5840mm,223.9051mm,161.5840mm,223.9060mm,160.8840mm,90
|
||||
R24_PostIsolation4_Drive2,R0603,TopLayer,218.4446mm,161.5874mm,218.4437mm,161.5874mm,218.4446mm,160.8874mm,90
|
||||
R24_PostIsolation4_Drive3,R0603,TopLayer,212.9832mm,161.5874mm,212.9824mm,161.5874mm,212.9832mm,160.8874mm,90
|
||||
R24_PostIsolation4_Drive4,R0603,TopLayer,207.5219mm,161.5987mm,207.5210mm,161.5987mm,207.5219mm,160.8987mm,90
|
||||
R24_PostIsolation5_Drive1,R0603,TopLayer,202.0605mm,161.5874mm,202.0596mm,161.5874mm,202.0605mm,160.8874mm,90
|
||||
R24_PostIsolation5_Drive2,R0603,TopLayer,196.5991mm,161.5874mm,196.5982mm,161.5874mm,196.5991mm,160.8874mm,90
|
||||
R24_PostIsolation5_Drive3,R0603,TopLayer,191.1377mm,161.5874mm,191.1368mm,161.5874mm,191.1377mm,160.8874mm,90
|
||||
R24_PostIsolation5_Drive4,R0603,TopLayer,185.6763mm,161.5874mm,185.6754mm,161.5874mm,185.6763mm,160.8874mm,90
|
||||
R24_PostIsolation6_Drive1,R0603,TopLayer,180.2149mm,161.5874mm,180.2140mm,161.5874mm,180.2149mm,160.8874mm,90
|
||||
R24_PostIsolation6_Drive2,R0603,TopLayer,174.7535mm,161.5874mm,174.7526mm,161.5874mm,174.7535mm,160.8874mm,90
|
||||
R24_PostIsolation6_Drive3,R0603,TopLayer,169.2922mm,161.5874mm,169.2913mm,161.5874mm,169.2922mm,160.8874mm,90
|
||||
R24_PostIsolation6_Drive4,R0603,TopLayer,163.8308mm,161.5874mm,163.8299mm,161.5874mm,163.8308mm,160.8874mm,90
|
||||
R24_PostIsolation7_Drive1,R0603,TopLayer,158.3694mm,161.5874mm,158.3685mm,161.5874mm,158.3694mm,160.8874mm,90
|
||||
R24_PostIsolation7_Drive2,R0603,TopLayer,152.9080mm,161.5874mm,152.9071mm,161.5874mm,152.9080mm,160.8874mm,90
|
||||
R24_PostIsolation7_Drive3,R0603,TopLayer,147.4466mm,161.5874mm,147.4457mm,161.5874mm,147.4466mm,160.8874mm,90
|
||||
R24_PostIsolation7_Drive4,R0603,TopLayer,141.9852mm,161.5874mm,141.9843mm,161.5874mm,141.9852mm,160.8874mm,90
|
||||
R24_PostIsolation8_Drive1,R0603,TopLayer,136.5238mm,161.5874mm,136.5229mm,161.5874mm,136.5238mm,160.8874mm,90
|
||||
R24_PostIsolation8_Drive2,R0603,TopLayer,131.0625mm,161.5874mm,131.0616mm,161.5874mm,131.0625mm,160.8874mm,90
|
||||
R24_PostIsolation8_Drive3,R0603,TopLayer,125.6011mm,161.5874mm,125.6002mm,161.5874mm,125.6011mm,160.8874mm,90
|
||||
R24_PostIsolation8_Drive4,R0603,TopLayer,120.1397mm,161.5874mm,120.1388mm,161.5874mm,120.1397mm,160.8874mm,90
|
||||
R24_PostIsolation9_Drive1,R0603,TopLayer,114.6783mm,161.5874mm,114.6774mm,161.5874mm,114.6783mm,160.8874mm,90
|
||||
R24_PostIsolation9_Drive2,R0603,TopLayer,109.2169mm,161.5874mm,109.2160mm,161.5874mm,109.2169mm,160.8874mm,90
|
||||
R24_PostIsolation9_Drive3,R0603,TopLayer,103.7555mm,161.5874mm,103.7546mm,161.5874mm,103.7555mm,160.8874mm,90
|
||||
R24_PostIsolation9_Drive4,R0603,TopLayer,98.2941mm,161.5874mm,98.2932mm,161.5874mm,98.2941mm,160.8874mm,90
|
||||
R25_PostIsolation1_Drive1,R0603,TopLayer,290.0871mm,159.7176mm,290.0871mm,159.7185mm,289.3871mm,159.7176mm,0
|
||||
R25_PostIsolation1_Drive2,R0603,TopLayer,284.6259mm,159.7143mm,284.6259mm,159.7152mm,283.9259mm,159.7143mm,0
|
||||
R25_PostIsolation1_Drive3,R0603,TopLayer,279.1612mm,159.7143mm,279.1612mm,159.7152mm,278.4612mm,159.7143mm,0
|
||||
R25_PostIsolation1_Drive4,R0603,TopLayer,273.7058mm,159.7143mm,273.7058mm,159.7152mm,273.0058mm,159.7143mm,0
|
||||
R25_PostIsolation10_Drive1,R0603,TopLayer,93.4773mm,159.7143mm,93.4773mm,159.7152mm,92.7773mm,159.7143mm,0
|
||||
R25_PostIsolation10_Drive2,R0603,TopLayer,88.0160mm,159.7143mm,88.0160mm,159.7152mm,87.3160mm,159.7143mm,0
|
||||
R25_PostIsolation10_Drive3,R0603,TopLayer,82.5546mm,159.7143mm,82.5546mm,159.7152mm,81.8546mm,159.7143mm,0
|
||||
R25_PostIsolation10_Drive4,R0603,TopLayer,77.0932mm,159.7143mm,77.0932mm,159.7152mm,76.3932mm,159.7143mm,0
|
||||
R25_PostIsolation11_Drive1,R0603,TopLayer,71.6318mm,159.7144mm,71.6318mm,159.7153mm,70.9318mm,159.7144mm,0
|
||||
R25_PostIsolation11_Drive2,R0603,TopLayer,66.1704mm,159.7144mm,66.1704mm,159.7153mm,65.4704mm,159.7144mm,0
|
||||
R25_PostIsolation11_Drive3,R0603,TopLayer,60.7090mm,159.7144mm,60.7090mm,159.7153mm,60.0090mm,159.7144mm,0
|
||||
R25_PostIsolation11_Drive4,R0603,TopLayer,55.2476mm,159.7144mm,55.2476mm,159.7153mm,54.5476mm,159.7144mm,0
|
||||
R25_PostIsolation12_Drive1,R0603,TopLayer,49.7863mm,159.7143mm,49.7863mm,159.7152mm,49.0863mm,159.7143mm,0
|
||||
R25_PostIsolation12_Drive2,R0603,TopLayer,44.3249mm,159.7143mm,44.3249mm,159.7152mm,43.6249mm,159.7143mm,0
|
||||
R25_PostIsolation12_Drive3,R0603,TopLayer,38.8635mm,159.7143mm,38.8635mm,159.7152mm,38.1635mm,159.7143mm,0
|
||||
R25_PostIsolation12_Drive4,R0603,TopLayer,33.4021mm,159.7143mm,33.4021mm,159.7152mm,32.7021mm,159.7143mm,0
|
||||
R25_PostIsolation2_Drive1,R0603,TopLayer,268.2417mm,159.7143mm,268.2417mm,159.7152mm,267.5417mm,159.7143mm,0
|
||||
R25_PostIsolation2_Drive2,R0603,TopLayer,262.7803mm,159.7143mm,262.7803mm,159.7152mm,262.0803mm,159.7143mm,0
|
||||
R25_PostIsolation2_Drive3,R0603,TopLayer,257.3189mm,159.7143mm,257.3189mm,159.7152mm,256.6189mm,159.7143mm,0
|
||||
R25_PostIsolation2_Drive4,R0603,TopLayer,251.8575mm,159.7143mm,251.8575mm,159.7152mm,251.1575mm,159.7143mm,0
|
||||
R25_PostIsolation3_Drive1,R0603,TopLayer,246.3961mm,159.7143mm,246.3962mm,159.7152mm,245.6962mm,159.7143mm,0
|
||||
R25_PostIsolation3_Drive2,R0603,TopLayer,240.9348mm,159.7143mm,240.9348mm,159.7152mm,240.2348mm,159.7143mm,0
|
||||
R25_PostIsolation3_Drive3,R0603,TopLayer,235.4734mm,159.7143mm,235.4734mm,159.7152mm,234.7734mm,159.7143mm,0
|
||||
R25_PostIsolation3_Drive4,R0603,TopLayer,230.0120mm,159.7143mm,230.0120mm,159.7152mm,229.3120mm,159.7143mm,0
|
||||
R25_PostIsolation4_Drive1,R0603,TopLayer,224.5506mm,159.7110mm,224.5506mm,159.7119mm,223.8506mm,159.7110mm,0
|
||||
R25_PostIsolation4_Drive2,R0603,TopLayer,219.0892mm,159.7143mm,219.0892mm,159.7152mm,218.3892mm,159.7143mm,0
|
||||
R25_PostIsolation4_Drive3,R0603,TopLayer,213.6278mm,159.7143mm,213.6278mm,159.7152mm,212.9278mm,159.7143mm,0
|
||||
R25_PostIsolation4_Drive4,R0603,TopLayer,208.1664mm,159.7257mm,208.1665mm,159.7266mm,207.4665mm,159.7257mm,0
|
||||
R25_PostIsolation5_Drive1,R0603,TopLayer,202.7051mm,159.7143mm,202.7051mm,159.7152mm,202.0051mm,159.7143mm,0
|
||||
R25_PostIsolation5_Drive2,R0603,TopLayer,197.2437mm,159.7143mm,197.2437mm,159.7152mm,196.5437mm,159.7143mm,0
|
||||
R25_PostIsolation5_Drive3,R0603,TopLayer,191.7823mm,159.7143mm,191.7823mm,159.7152mm,191.0823mm,159.7143mm,0
|
||||
R25_PostIsolation5_Drive4,R0603,TopLayer,186.3209mm,159.7143mm,186.3209mm,159.7152mm,185.6209mm,159.7143mm,0
|
||||
R25_PostIsolation6_Drive1,R0603,TopLayer,180.8595mm,159.7143mm,180.8595mm,159.7152mm,180.1595mm,159.7143mm,0
|
||||
R25_PostIsolation6_Drive2,R0603,TopLayer,175.3981mm,159.7143mm,175.3981mm,159.7152mm,174.6981mm,159.7143mm,0
|
||||
R25_PostIsolation6_Drive3,R0603,TopLayer,169.9367mm,159.7143mm,169.9367mm,159.7152mm,169.2367mm,159.7143mm,0
|
||||
R25_PostIsolation6_Drive4,R0603,TopLayer,164.4754mm,159.7143mm,164.4754mm,159.7152mm,163.7754mm,159.7143mm,0
|
||||
R25_PostIsolation7_Drive1,R0603,TopLayer,159.0140mm,159.7143mm,159.0140mm,159.7152mm,158.3140mm,159.7143mm,0
|
||||
R25_PostIsolation7_Drive2,R0603,TopLayer,153.5526mm,159.7143mm,153.5526mm,159.7152mm,152.8526mm,159.7143mm,0
|
||||
R25_PostIsolation7_Drive3,R0603,TopLayer,148.0912mm,159.7143mm,148.0912mm,159.7152mm,147.3912mm,159.7143mm,0
|
||||
R25_PostIsolation7_Drive4,R0603,TopLayer,142.6298mm,159.7143mm,142.6298mm,159.7152mm,141.9298mm,159.7143mm,0
|
||||
R25_PostIsolation8_Drive1,R0603,TopLayer,137.1684mm,159.7143mm,137.1684mm,159.7152mm,136.4684mm,159.7143mm,0
|
||||
R25_PostIsolation8_Drive2,R0603,TopLayer,131.7070mm,159.7143mm,131.7070mm,159.7152mm,131.0070mm,159.7143mm,0
|
||||
R25_PostIsolation8_Drive3,R0603,TopLayer,126.2457mm,159.7143mm,126.2457mm,159.7152mm,125.5457mm,159.7143mm,0
|
||||
R25_PostIsolation8_Drive4,R0603,TopLayer,120.7843mm,159.7143mm,120.7843mm,159.7152mm,120.0843mm,159.7143mm,0
|
||||
R25_PostIsolation9_Drive1,R0603,TopLayer,115.3229mm,159.7143mm,115.3229mm,159.7152mm,114.6229mm,159.7143mm,0
|
||||
R25_PostIsolation9_Drive2,R0603,TopLayer,109.8615mm,159.7143mm,109.8615mm,159.7152mm,109.1615mm,159.7143mm,0
|
||||
R25_PostIsolation9_Drive3,R0603,TopLayer,104.4001mm,159.7143mm,104.4001mm,159.7152mm,103.7001mm,159.7143mm,0
|
||||
R25_PostIsolation9_Drive4,R0603,TopLayer,98.9387mm,159.7143mm,98.9387mm,159.7152mm,98.2387mm,159.7143mm,0
|
||||
R26_PostIsolation1_Drive1,R0805,TopLayer,290.3413mm,145.0053mm,290.3413mm,145.0053mm,291.1663mm,145.0053mm,180
|
||||
R26_PostIsolation1_Drive2,R0805,TopLayer,284.8800mm,145.0021mm,284.8800mm,145.0021mm,285.7050mm,145.0021mm,180
|
||||
R26_PostIsolation1_Drive3,R0805,TopLayer,279.4153mm,145.0021mm,279.4153mm,145.0021mm,280.2403mm,145.0021mm,180
|
||||
R26_PostIsolation1_Drive4,R0805,TopLayer,273.9599mm,145.0021mm,273.9599mm,145.0021mm,274.7849mm,145.0021mm,180
|
||||
R26_PostIsolation10_Drive1,R0805,TopLayer,93.7315mm,145.0021mm,93.7315mm,145.0021mm,94.5565mm,145.0021mm,180
|
||||
R26_PostIsolation10_Drive2,R0805,TopLayer,88.2701mm,145.0021mm,88.2701mm,145.0021mm,89.0951mm,145.0021mm,180
|
||||
R26_PostIsolation10_Drive3,R0805,TopLayer,82.8087mm,145.0021mm,82.8087mm,145.0021mm,83.6337mm,145.0021mm,180
|
||||
R26_PostIsolation10_Drive4,R0805,TopLayer,77.3473mm,145.0021mm,77.3473mm,145.0021mm,78.1723mm,145.0021mm,180
|
||||
R26_PostIsolation11_Drive1,R0805,TopLayer,71.8859mm,145.0021mm,71.8859mm,145.0021mm,72.7109mm,145.0021mm,180
|
||||
R26_PostIsolation11_Drive2,R0805,TopLayer,66.4245mm,145.0021mm,66.4245mm,145.0021mm,67.2495mm,145.0021mm,180
|
||||
R26_PostIsolation11_Drive3,R0805,TopLayer,60.9632mm,145.0021mm,60.9632mm,145.0021mm,61.7882mm,145.0021mm,180
|
||||
R26_PostIsolation11_Drive4,R0805,TopLayer,55.5018mm,145.0021mm,55.5018mm,145.0021mm,56.3268mm,145.0021mm,180
|
||||
R26_PostIsolation12_Drive1,R0805,TopLayer,50.0404mm,145.0021mm,50.0404mm,145.0021mm,50.8654mm,145.0021mm,180
|
||||
R26_PostIsolation12_Drive2,R0805,TopLayer,44.5790mm,145.0020mm,44.5790mm,145.0020mm,45.4040mm,145.0020mm,180
|
||||
R26_PostIsolation12_Drive3,R0805,TopLayer,39.1176mm,145.0020mm,39.1176mm,145.0020mm,39.9426mm,145.0020mm,180
|
||||
R26_PostIsolation12_Drive4,R0805,TopLayer,33.6562mm,145.0020mm,33.6562mm,145.0020mm,34.4812mm,145.0020mm,180
|
||||
R26_PostIsolation2_Drive1,R0805,TopLayer,268.4958mm,145.0021mm,268.4958mm,145.0021mm,269.3208mm,145.0021mm,180
|
||||
R26_PostIsolation2_Drive2,R0805,TopLayer,263.0344mm,145.0021mm,263.0344mm,145.0021mm,263.8594mm,145.0021mm,180
|
||||
R26_PostIsolation2_Drive3,R0805,TopLayer,257.5731mm,145.0021mm,257.5731mm,145.0021mm,258.3981mm,145.0021mm,180
|
||||
R26_PostIsolation2_Drive4,R0805,TopLayer,252.1117mm,145.0021mm,252.1117mm,145.0021mm,252.9367mm,145.0021mm,180
|
||||
R26_PostIsolation3_Drive1,R0805,TopLayer,246.6503mm,145.0021mm,246.6503mm,145.0021mm,247.4753mm,145.0021mm,180
|
||||
R26_PostIsolation3_Drive2,R0805,TopLayer,241.1889mm,145.0021mm,241.1889mm,145.0021mm,242.0139mm,145.0021mm,180
|
||||
R26_PostIsolation3_Drive3,R0805,TopLayer,235.7275mm,145.0021mm,235.7275mm,145.0021mm,236.5525mm,145.0021mm,180
|
||||
R26_PostIsolation3_Drive4,R0805,TopLayer,230.2661mm,145.0021mm,230.2661mm,145.0021mm,231.0911mm,145.0021mm,180
|
||||
R26_PostIsolation4_Drive1,R0805,TopLayer,224.8047mm,144.9987mm,224.8047mm,144.9987mm,225.6297mm,144.9987mm,180
|
||||
R26_PostIsolation4_Drive2,R0805,TopLayer,219.3434mm,145.0021mm,219.3434mm,145.0021mm,220.1684mm,145.0021mm,180
|
||||
R26_PostIsolation4_Drive3,R0805,TopLayer,213.8820mm,145.0021mm,213.8820mm,145.0021mm,214.7070mm,145.0021mm,180
|
||||
R26_PostIsolation4_Drive4,R0805,TopLayer,208.4206mm,145.0134mm,208.4206mm,145.0134mm,209.2456mm,145.0134mm,180
|
||||
R26_PostIsolation5_Drive1,R0805,TopLayer,202.9592mm,145.0021mm,202.9592mm,145.0021mm,203.7842mm,145.0021mm,180
|
||||
R26_PostIsolation5_Drive2,R0805,TopLayer,197.4978mm,145.0021mm,197.4978mm,145.0021mm,198.3228mm,145.0021mm,180
|
||||
R26_PostIsolation5_Drive3,R0805,TopLayer,192.0364mm,145.0021mm,192.0364mm,145.0021mm,192.8614mm,145.0021mm,180
|
||||
R26_PostIsolation5_Drive4,R0805,TopLayer,186.5750mm,145.0021mm,186.5750mm,145.0021mm,187.4000mm,145.0021mm,180
|
||||
R26_PostIsolation6_Drive1,R0805,TopLayer,181.1136mm,145.0021mm,181.1136mm,145.0021mm,181.9386mm,145.0021mm,180
|
||||
R26_PostIsolation6_Drive2,R0805,TopLayer,175.6523mm,145.0021mm,175.6523mm,145.0021mm,176.4773mm,145.0021mm,180
|
||||
R26_PostIsolation6_Drive3,R0805,TopLayer,170.1909mm,145.0021mm,170.1909mm,145.0021mm,171.0159mm,145.0021mm,180
|
||||
R26_PostIsolation6_Drive4,R0805,TopLayer,164.7295mm,145.0021mm,164.7295mm,145.0021mm,165.5545mm,145.0021mm,180
|
||||
R26_PostIsolation7_Drive1,R0805,TopLayer,159.2681mm,145.0021mm,159.2681mm,145.0021mm,160.0931mm,145.0021mm,180
|
||||
R26_PostIsolation7_Drive2,R0805,TopLayer,153.8067mm,145.0021mm,153.8067mm,145.0021mm,154.6317mm,145.0021mm,180
|
||||
R26_PostIsolation7_Drive3,R0805,TopLayer,148.3453mm,145.0021mm,148.3453mm,145.0021mm,149.1703mm,145.0021mm,180
|
||||
R26_PostIsolation7_Drive4,R0805,TopLayer,142.8839mm,145.0021mm,142.8839mm,145.0021mm,143.7089mm,145.0021mm,180
|
||||
R26_PostIsolation8_Drive1,R0805,TopLayer,137.4226mm,145.0021mm,137.4226mm,145.0021mm,138.2475mm,145.0021mm,180
|
||||
R26_PostIsolation8_Drive2,R0805,TopLayer,131.9612mm,145.0021mm,131.9612mm,145.0021mm,132.7862mm,145.0021mm,180
|
||||
R26_PostIsolation8_Drive3,R0805,TopLayer,126.4998mm,145.0021mm,126.4998mm,145.0021mm,127.3248mm,145.0021mm,180
|
||||
R26_PostIsolation8_Drive4,R0805,TopLayer,121.0384mm,145.0021mm,121.0384mm,145.0021mm,121.8634mm,145.0021mm,180
|
||||
R26_PostIsolation9_Drive1,R0805,TopLayer,115.5770mm,145.0021mm,115.5770mm,145.0021mm,116.4020mm,145.0021mm,180
|
||||
R26_PostIsolation9_Drive2,R0805,TopLayer,110.1156mm,145.0021mm,110.1156mm,145.0021mm,110.9406mm,145.0021mm,180
|
||||
R26_PostIsolation9_Drive3,R0805,TopLayer,104.6542mm,145.0021mm,104.6542mm,145.0021mm,105.4792mm,145.0021mm,180
|
||||
R26_PostIsolation9_Drive4,R0805,TopLayer,99.1929mm,145.0021mm,99.1929mm,145.0021mm,100.0179mm,145.0021mm,180
|
||||
R27_PostIsolation1_Drive1,R0603,TopLayer,290.6917mm,161.5907mm,290.6909mm,161.5907mm,290.6917mm,160.8907mm,90
|
||||
R27_PostIsolation1_Drive2,R0603,TopLayer,285.2305mm,161.5874mm,285.2296mm,161.5874mm,285.2305mm,160.8874mm,90
|
||||
R27_PostIsolation1_Drive3,R0603,TopLayer,279.7658mm,161.5874mm,279.7649mm,161.5874mm,279.7658mm,160.8874mm,90
|
||||
R27_PostIsolation1_Drive4,R0603,TopLayer,274.3104mm,161.5874mm,274.3095mm,161.5874mm,274.3104mm,160.8874mm,90
|
||||
R27_PostIsolation10_Drive1,R0603,TopLayer,94.0820mm,161.5874mm,94.0811mm,161.5874mm,94.0820mm,160.8874mm,90
|
||||
R27_PostIsolation10_Drive2,R0603,TopLayer,88.6206mm,161.5874mm,88.6197mm,161.5874mm,88.6206mm,160.8874mm,90
|
||||
R27_PostIsolation10_Drive3,R0603,TopLayer,83.1592mm,161.5874mm,83.1583mm,161.5874mm,83.1592mm,160.8874mm,90
|
||||
R27_PostIsolation10_Drive4,R0603,TopLayer,77.6978mm,161.5874mm,77.6969mm,161.5874mm,77.6978mm,160.8874mm,90
|
||||
R27_PostIsolation11_Drive1,R0603,TopLayer,72.2364mm,161.5874mm,72.2355mm,161.5874mm,72.2364mm,160.8874mm,90
|
||||
R27_PostIsolation11_Drive2,R0603,TopLayer,66.7750mm,161.5874mm,66.7741mm,161.5874mm,66.7750mm,160.8874mm,90
|
||||
R27_PostIsolation11_Drive3,R0603,TopLayer,61.3136mm,161.5874mm,61.3127mm,161.5874mm,61.3136mm,160.8874mm,90
|
||||
R27_PostIsolation11_Drive4,R0603,TopLayer,55.8522mm,161.5874mm,55.8513mm,161.5874mm,55.8522mm,160.8874mm,90
|
||||
R27_PostIsolation12_Drive1,R0603,TopLayer,50.3909mm,161.5874mm,50.3900mm,161.5874mm,50.3909mm,160.8874mm,90
|
||||
R27_PostIsolation12_Drive2,R0603,TopLayer,44.9295mm,161.5874mm,44.9286mm,161.5874mm,44.9295mm,160.8874mm,90
|
||||
R27_PostIsolation12_Drive3,R0603,TopLayer,39.4681mm,161.5874mm,39.4672mm,161.5874mm,39.4681mm,160.8874mm,90
|
||||
R27_PostIsolation12_Drive4,R0603,TopLayer,34.0067mm,161.5874mm,34.0058mm,161.5874mm,34.0067mm,160.8874mm,90
|
||||
R27_PostIsolation2_Drive1,R0603,TopLayer,268.8463mm,161.5874mm,268.8454mm,161.5874mm,268.8463mm,160.8874mm,90
|
||||
R27_PostIsolation2_Drive2,R0603,TopLayer,263.3849mm,161.5874mm,263.3840mm,161.5874mm,263.3849mm,160.8874mm,90
|
||||
R27_PostIsolation2_Drive3,R0603,TopLayer,257.9235mm,161.5874mm,257.9226mm,161.5874mm,257.9235mm,160.8874mm,90
|
||||
R27_PostIsolation2_Drive4,R0603,TopLayer,252.4621mm,161.5874mm,252.4613mm,161.5874mm,252.4621mm,160.8874mm,90
|
||||
R27_PostIsolation3_Drive1,R0603,TopLayer,247.0008mm,161.5874mm,246.9999mm,161.5874mm,247.0008mm,160.8874mm,90
|
||||
R27_PostIsolation3_Drive2,R0603,TopLayer,241.5394mm,161.5874mm,241.5385mm,161.5874mm,241.5394mm,160.8874mm,90
|
||||
R27_PostIsolation3_Drive3,R0603,TopLayer,236.0780mm,161.5874mm,236.0771mm,161.5874mm,236.0780mm,160.8874mm,90
|
||||
R27_PostIsolation3_Drive4,R0603,TopLayer,230.6166mm,161.5874mm,230.6157mm,161.5874mm,230.6166mm,160.8874mm,90
|
||||
R27_PostIsolation4_Drive1,R0603,TopLayer,225.1552mm,161.5840mm,225.1543mm,161.5840mm,225.1552mm,160.8840mm,90
|
||||
R27_PostIsolation4_Drive2,R0603,TopLayer,219.6938mm,161.5874mm,219.6929mm,161.5874mm,219.6938mm,160.8874mm,90
|
||||
R27_PostIsolation4_Drive3,R0603,TopLayer,214.2324mm,161.5874mm,214.2315mm,161.5874mm,214.2324mm,160.8874mm,90
|
||||
R27_PostIsolation4_Drive4,R0603,TopLayer,208.7711mm,161.5987mm,208.7702mm,161.5987mm,208.7711mm,160.8987mm,90
|
||||
R27_PostIsolation5_Drive1,R0603,TopLayer,203.3097mm,161.5874mm,203.3088mm,161.5874mm,203.3097mm,160.8874mm,90
|
||||
R27_PostIsolation5_Drive2,R0603,TopLayer,197.8483mm,161.5874mm,197.8474mm,161.5874mm,197.8483mm,160.8874mm,90
|
||||
R27_PostIsolation5_Drive3,R0603,TopLayer,192.3869mm,161.5874mm,192.3860mm,161.5874mm,192.3869mm,160.8874mm,90
|
||||
R27_PostIsolation5_Drive4,R0603,TopLayer,186.9255mm,161.5874mm,186.9246mm,161.5874mm,186.9255mm,160.8874mm,90
|
||||
R27_PostIsolation6_Drive1,R0603,TopLayer,181.4641mm,161.5874mm,181.4632mm,161.5874mm,181.4641mm,160.8874mm,90
|
||||
R27_PostIsolation6_Drive2,R0603,TopLayer,176.0027mm,161.5874mm,176.0018mm,161.5874mm,176.0027mm,160.8874mm,90
|
||||
R27_PostIsolation6_Drive3,R0603,TopLayer,170.5414mm,161.5874mm,170.5405mm,161.5874mm,170.5414mm,160.8874mm,90
|
||||
R27_PostIsolation6_Drive4,R0603,TopLayer,165.0800mm,161.5874mm,165.0791mm,161.5874mm,165.0800mm,160.8874mm,90
|
||||
R27_PostIsolation7_Drive1,R0603,TopLayer,159.6186mm,161.5874mm,159.6177mm,161.5874mm,159.6186mm,160.8874mm,90
|
||||
R27_PostIsolation7_Drive2,R0603,TopLayer,154.1572mm,161.5874mm,154.1563mm,161.5874mm,154.1572mm,160.8874mm,90
|
||||
R27_PostIsolation7_Drive3,R0603,TopLayer,148.6958mm,161.5874mm,148.6949mm,161.5874mm,148.6958mm,160.8874mm,90
|
||||
R27_PostIsolation7_Drive4,R0603,TopLayer,143.2344mm,161.5874mm,143.2335mm,161.5874mm,143.2344mm,160.8874mm,90
|
||||
R27_PostIsolation8_Drive1,R0603,TopLayer,137.7730mm,161.5874mm,137.7721mm,161.5874mm,137.7730mm,160.8874mm,90
|
||||
R27_PostIsolation8_Drive2,R0603,TopLayer,132.3116mm,161.5874mm,132.3108mm,161.5874mm,132.3116mm,160.8874mm,90
|
||||
R27_PostIsolation8_Drive3,R0603,TopLayer,126.8503mm,161.5874mm,126.8494mm,161.5874mm,126.8503mm,160.8874mm,90
|
||||
R27_PostIsolation8_Drive4,R0603,TopLayer,121.3889mm,161.5874mm,121.3880mm,161.5874mm,121.3889mm,160.8874mm,90
|
||||
R27_PostIsolation9_Drive1,R0603,TopLayer,115.9275mm,161.5874mm,115.9266mm,161.5874mm,115.9275mm,160.8874mm,90
|
||||
R27_PostIsolation9_Drive2,R0603,TopLayer,110.4661mm,161.5874mm,110.4652mm,161.5874mm,110.4661mm,160.8874mm,90
|
||||
R27_PostIsolation9_Drive3,R0603,TopLayer,105.0047mm,161.5874mm,105.0038mm,161.5874mm,105.0047mm,160.8874mm,90
|
||||
R27_PostIsolation9_Drive4,R0603,TopLayer,99.5433mm,161.5874mm,99.5424mm,161.5874mm,99.5433mm,160.8874mm,90
|
||||
R28_PostIsolation1_Drive1,R0603,BottomLayer,290.7408mm,143.2020mm,290.7399mm,143.2020mm,290.7408mm,143.9020mm,270
|
||||
R28_PostIsolation1_Drive2,R0603,BottomLayer,285.2796mm,143.1987mm,285.2787mm,143.1987mm,285.2796mm,143.8987mm,270
|
||||
R28_PostIsolation1_Drive3,R0603,BottomLayer,279.8149mm,143.1987mm,279.8140mm,143.1987mm,279.8149mm,143.8987mm,270
|
||||
R28_PostIsolation1_Drive4,R0603,BottomLayer,274.3595mm,143.1987mm,274.3586mm,143.1987mm,274.3595mm,143.8987mm,270
|
||||
R28_PostIsolation10_Drive1,R0603,BottomLayer,94.1310mm,143.1987mm,94.1302mm,143.1987mm,94.1310mm,143.8987mm,270
|
||||
R28_PostIsolation10_Drive2,R0603,BottomLayer,88.6697mm,143.1987mm,88.6688mm,143.1987mm,88.6697mm,143.8987mm,270
|
||||
R28_PostIsolation10_Drive3,R0603,BottomLayer,83.2083mm,143.1987mm,83.2074mm,143.1987mm,83.2083mm,143.8987mm,270
|
||||
R28_PostIsolation10_Drive4,R0603,BottomLayer,77.7469mm,143.1987mm,77.7460mm,143.1987mm,77.7469mm,143.8987mm,270
|
||||
R28_PostIsolation11_Drive1,R0603,BottomLayer,72.2855mm,143.1987mm,72.2846mm,143.1987mm,72.2855mm,143.8987mm,270
|
||||
R28_PostIsolation11_Drive2,R0603,BottomLayer,66.8241mm,143.1987mm,66.8232mm,143.1987mm,66.8241mm,143.8987mm,270
|
||||
R28_PostIsolation11_Drive3,R0603,BottomLayer,61.3627mm,143.1987mm,61.3618mm,143.1987mm,61.3627mm,143.8987mm,270
|
||||
R28_PostIsolation11_Drive4,R0603,BottomLayer,55.9013mm,143.1987mm,55.9004mm,143.1987mm,55.9013mm,143.8987mm,270
|
||||
R28_PostIsolation12_Drive1,R0603,BottomLayer,50.4400mm,143.1987mm,50.4391mm,143.1987mm,50.4400mm,143.8987mm,270
|
||||
R28_PostIsolation12_Drive2,R0603,BottomLayer,44.9786mm,143.1987mm,44.9777mm,143.1987mm,44.9786mm,143.8987mm,270
|
||||
R28_PostIsolation12_Drive3,R0603,BottomLayer,39.5172mm,143.1987mm,39.5163mm,143.1987mm,39.5172mm,143.8987mm,270
|
||||
R28_PostIsolation12_Drive4,R0603,BottomLayer,34.0558mm,143.1987mm,34.0549mm,143.1987mm,34.0558mm,143.8987mm,270
|
||||
R28_PostIsolation2_Drive1,R0603,BottomLayer,268.8954mm,143.1987mm,268.8945mm,143.1987mm,268.8954mm,143.8987mm,270
|
||||
R28_PostIsolation2_Drive2,R0603,BottomLayer,263.4340mm,143.1987mm,263.4331mm,143.1987mm,263.4340mm,143.8987mm,270
|
||||
R28_PostIsolation2_Drive3,R0603,BottomLayer,257.9726mm,143.1987mm,257.9717mm,143.1987mm,257.9726mm,143.8987mm,270
|
||||
R28_PostIsolation2_Drive4,R0603,BottomLayer,252.5112mm,143.1987mm,252.5103mm,143.1987mm,252.5112mm,143.8987mm,270
|
||||
R28_PostIsolation3_Drive1,R0603,BottomLayer,247.0499mm,143.1987mm,247.0490mm,143.1987mm,247.0499mm,143.8987mm,270
|
||||
R28_PostIsolation3_Drive2,R0603,BottomLayer,241.5885mm,143.1987mm,241.5876mm,143.1987mm,241.5885mm,143.8987mm,270
|
||||
R28_PostIsolation3_Drive3,R0603,BottomLayer,236.1271mm,143.1987mm,236.1262mm,143.1987mm,236.1271mm,143.8987mm,270
|
||||
R28_PostIsolation3_Drive4,R0603,BottomLayer,230.6657mm,143.1987mm,230.6648mm,143.1987mm,230.6657mm,143.8987mm,270
|
||||
R28_PostIsolation4_Drive1,R0603,BottomLayer,225.2043mm,143.1953mm,225.2034mm,143.1953mm,225.2043mm,143.8953mm,270
|
||||
R28_PostIsolation4_Drive2,R0603,BottomLayer,219.7429mm,143.1987mm,219.7420mm,143.1987mm,219.7429mm,143.8987mm,270
|
||||
R28_PostIsolation4_Drive3,R0603,BottomLayer,214.2815mm,143.1987mm,214.2806mm,143.1987mm,214.2815mm,143.8987mm,270
|
||||
R28_PostIsolation4_Drive4,R0603,BottomLayer,208.8202mm,143.2100mm,208.8193mm,143.2100mm,208.8202mm,143.9100mm,270
|
||||
R28_PostIsolation5_Drive1,R0603,BottomLayer,203.3588mm,143.1987mm,203.3579mm,143.1987mm,203.3588mm,143.8987mm,270
|
||||
R28_PostIsolation5_Drive2,R0603,BottomLayer,197.8974mm,143.1987mm,197.8965mm,143.1987mm,197.8974mm,143.8987mm,270
|
||||
R28_PostIsolation5_Drive3,R0603,BottomLayer,192.4360mm,143.1987mm,192.4351mm,143.1987mm,192.4360mm,143.8987mm,270
|
||||
R28_PostIsolation5_Drive4,R0603,BottomLayer,186.9746mm,143.1987mm,186.9737mm,143.1987mm,186.9746mm,143.8987mm,270
|
||||
R28_PostIsolation6_Drive1,R0603,BottomLayer,181.5132mm,143.1987mm,181.5123mm,143.1987mm,181.5132mm,143.8987mm,270
|
||||
R28_PostIsolation6_Drive2,R0603,BottomLayer,176.0518mm,143.1987mm,176.0509mm,143.1987mm,176.0518mm,143.8987mm,270
|
||||
R28_PostIsolation6_Drive3,R0603,BottomLayer,170.5905mm,143.1987mm,170.5896mm,143.1987mm,170.5905mm,143.8987mm,270
|
||||
R28_PostIsolation6_Drive4,R0603,BottomLayer,165.1291mm,143.1987mm,165.1282mm,143.1987mm,165.1291mm,143.8987mm,270
|
||||
R28_PostIsolation7_Drive1,R0603,BottomLayer,159.6677mm,143.1987mm,159.6668mm,143.1987mm,159.6677mm,143.8987mm,270
|
||||
R28_PostIsolation7_Drive2,R0603,BottomLayer,154.2063mm,143.1987mm,154.2054mm,143.1987mm,154.2063mm,143.8987mm,270
|
||||
R28_PostIsolation7_Drive3,R0603,BottomLayer,148.7449mm,143.1987mm,148.7440mm,143.1987mm,148.7449mm,143.8987mm,270
|
||||
R28_PostIsolation7_Drive4,R0603,BottomLayer,143.2835mm,143.1987mm,143.2826mm,143.1987mm,143.2835mm,143.8987mm,270
|
||||
R28_PostIsolation8_Drive1,R0603,BottomLayer,137.8221mm,143.1987mm,137.8212mm,143.1987mm,137.8221mm,143.8987mm,270
|
||||
R28_PostIsolation8_Drive2,R0603,BottomLayer,132.3608mm,143.1987mm,132.3599mm,143.1987mm,132.3608mm,143.8987mm,270
|
||||
R28_PostIsolation8_Drive3,R0603,BottomLayer,126.8994mm,143.1987mm,126.8985mm,143.1987mm,126.8994mm,143.8987mm,270
|
||||
R28_PostIsolation8_Drive4,R0603,BottomLayer,121.4380mm,143.1987mm,121.4371mm,143.1987mm,121.4380mm,143.8987mm,270
|
||||
R28_PostIsolation9_Drive1,R0603,BottomLayer,115.9766mm,143.1987mm,115.9757mm,143.1987mm,115.9766mm,143.8987mm,270
|
||||
R28_PostIsolation9_Drive2,R0603,BottomLayer,110.5152mm,143.1987mm,110.5143mm,143.1987mm,110.5152mm,143.8987mm,270
|
||||
R28_PostIsolation9_Drive3,R0603,BottomLayer,105.0538mm,143.1987mm,105.0529mm,143.1987mm,105.0538mm,143.8987mm,270
|
||||
R28_PostIsolation9_Drive4,R0603,BottomLayer,99.5924mm,143.1987mm,99.5915mm,143.1987mm,99.5924mm,143.8987mm,270
|
||||
R29,R1206,TopLayer,301.9910mm,127.9589mm,301.9910mm,127.9589mm,303.2910mm,127.9589mm,180
|
||||
R3,R0402,TopLayer,205.6838mm,88.0672mm,205.6838mm,88.0672mm,205.6838mm,87.6672mm,90
|
||||
R30,R0805,TopLayer,301.9528mm,132.1778mm,301.9528mm,132.1778mm,301.1278mm,132.1778mm,0
|
||||
R31,R0805,TopLayer,301.9049mm,123.2968mm,301.9049mm,123.2968mm,301.0799mm,123.2968mm,0
|
||||
R32,R0402,TopLayer,281.7699mm,100.6294mm,281.7699mm,100.6294mm,282.1699mm,100.6294mm,180
|
||||
R33,R0402,TopLayer,288.5453mm,103.5923mm,288.5453mm,103.5923mm,288.1453mm,103.5923mm,0
|
||||
R34,R0402,TopLayer,290.3765mm,97.8088mm,290.3765mm,97.8088mm,289.9765mm,97.8088mm,0
|
||||
R35,R0402,TopLayer,288.5453mm,102.7669mm,288.5453mm,102.7669mm,288.9453mm,102.7669mm,180
|
||||
R36,R0402,TopLayer,281.7699mm,99.1018mm,281.7699mm,99.1018mm,281.3699mm,99.1018mm,0
|
||||
R37,R0402,TopLayer,288.5453mm,101.1162mm,288.5453mm,101.1162mm,288.9453mm,101.1162mm,180
|
||||
R38,R0402,TopLayer,302.5903mm,114.9704mm,302.5903mm,114.9704mm,302.5903mm,115.3704mm,270
|
||||
R39,R0402,TopLayer,299.0935mm,109.3779mm,299.0935mm,109.3779mm,299.4935mm,109.3779mm,180
|
||||
R4,R0402,TopLayer,207.4618mm,88.0672mm,207.4618mm,88.0672mm,207.4618mm,88.4672mm,270
|
||||
R40,R0402,TopLayer,290.3641mm,98.7773mm,290.3641mm,98.7773mm,290.7641mm,98.7773mm,180
|
||||
R41,R0402,TopLayer,286.5719mm,92.6821mm,286.5719mm,92.6821mm,286.5719mm,92.2821mm,90
|
||||
R42,R0402,TopLayer,295.8443mm,115.1272mm,295.8443mm,115.1272mm,295.8443mm,114.7272mm,90
|
||||
R43,R0805,TopLayer,298.4304mm,118.9076mm,298.4304mm,118.9076mm,299.2554mm,118.9076mm,180
|
||||
R44,R0402,TopLayer,295.8443mm,111.4790mm,295.8443mm,111.4790mm,295.8443mm,111.0790mm,90
|
||||
R45,R0402,TopLayer,284.9389mm,92.6821mm,284.9389mm,92.6821mm,284.9389mm,93.0821mm,270
|
||||
R46,R0402,TopLayer,256.0017mm,108.8059mm,256.0017mm,108.8059mm,255.6017mm,108.8059mm,0
|
||||
R47,R0402,TopLayer,256.0017mm,107.5359mm,256.0017mm,107.5359mm,255.6017mm,107.5359mm,0
|
||||
R48,R0402,TopLayer,256.0017mm,106.2659mm,256.0017mm,106.2659mm,255.6017mm,106.2659mm,0
|
||||
R49,R0402,TopLayer,253.5887mm,108.8059mm,253.5887mm,108.8059mm,253.1887mm,108.8059mm,0
|
||||
R5,R0402,TopLayer,174.6748mm,79.8293mm,174.6748mm,79.8293mm,174.6748mm,80.2293mm,270
|
||||
R50,R0402,TopLayer,253.5887mm,107.5359mm,253.5887mm,107.5359mm,253.1887mm,107.5359mm,0
|
||||
R51,R0402,TopLayer,253.5887mm,106.2659mm,253.5887mm,106.2659mm,253.1887mm,106.2659mm,0
|
||||
R52,R0402,TopLayer,263.3677mm,101.5669mm,263.3677mm,101.5669mm,263.3677mm,101.1669mm,90
|
||||
R53,R0402,TopLayer,257.7797mm,101.5669mm,257.7797mm,101.5669mm,257.7797mm,101.1669mm,90
|
||||
R54,R0402,TopLayer,260.5737mm,101.5669mm,260.5737mm,101.5669mm,260.5737mm,101.1669mm,90
|
||||
R6,R0402,TopLayer,176.4105mm,79.8293mm,176.4105mm,79.8293mm,176.4105mm,80.2293mm,270
|
||||
R7,R0402,TopLayer,178.1461mm,79.8293mm,178.1461mm,79.8293mm,178.1461mm,80.2293mm,270
|
||||
R8,R0402,TopLayer,179.8818mm,79.8293mm,179.8818mm,79.8293mm,179.8818mm,80.2293mm,270
|
||||
R9,R0402,TopLayer,174.6748mm,81.9883mm,174.6748mm,81.9883mm,174.6748mm,82.3883mm,270
|
||||
U1,TQFP144_N,TopLayer,169.0370mm,106.8070mm,169.0370mm,106.8070mm,160.2870mm,96.2570mm,90
|
||||
U2_PostIsolation1_Isolation1,PS2801,BottomLayer,277.6775mm,135.7350mm,277.6775mm,135.7350mm,282.1225mm,132.7100mm,90
|
||||
U2_PostIsolation10_Isolation10,PS2801,BottomLayer,81.0674mm,135.7350mm,81.0674mm,135.7350mm,85.5124mm,132.7100mm,90
|
||||
U2_PostIsolation11_Isolation11,PS2801,BottomLayer,59.2218mm,135.7351mm,59.2218mm,135.7351mm,63.6668mm,132.7101mm,90
|
||||
U2_PostIsolation12_Isolation12,PS2801,BottomLayer,37.3763mm,135.7351mm,37.3763mm,135.7351mm,41.8213mm,132.7101mm,90
|
||||
U2_PostIsolation2_Isolation2,PS2801,BottomLayer,255.8303mm,135.7355mm,255.8303mm,135.7355mm,260.2753mm,132.7105mm,90
|
||||
U2_PostIsolation3_Isolation3,PS2801,BottomLayer,233.9848mm,135.7355mm,233.9848mm,135.7355mm,238.4298mm,132.7105mm,90
|
||||
U2_PostIsolation4_Isolation4,PS2801,BottomLayer,212.1406mm,135.7464mm,212.1406mm,135.7464mm,216.5856mm,132.7214mm,90
|
||||
U2_PostIsolation5_Isolation5,PS2801,BottomLayer,190.2951mm,135.7350mm,190.2951mm,135.7350mm,194.7401mm,132.7100mm,90
|
||||
U2_PostIsolation6_Isolation6,PS2801,BottomLayer,168.4496mm,135.7350mm,168.4496mm,135.7350mm,172.8946mm,132.7100mm,90
|
||||
U2_PostIsolation7_Isolation7,PS2801,BottomLayer,146.6040mm,135.7350mm,146.6040mm,135.7350mm,151.0490mm,132.7100mm,90
|
||||
U2_PostIsolation8_Isolation8,PS2801,BottomLayer,124.7585mm,135.7350mm,124.7585mm,135.7350mm,129.2035mm,132.7100mm,90
|
||||
U2_PostIsolation9_Isolation9,PS2801,BottomLayer,102.9129mm,135.7350mm,102.9129mm,135.7350mm,107.3579mm,132.7100mm,90
|
||||
U3_PostIsolation1_Isolation1,PS2801,TopLayer,288.4343mm,135.7259mm,288.4343mm,135.7259mm,283.9893mm,132.7009mm,90
|
||||
U3_PostIsolation10_Isolation10,PS2801,TopLayer,91.8242mm,135.7258mm,91.8242mm,135.7258mm,87.3792mm,132.7008mm,90
|
||||
U3_PostIsolation11_Isolation11,PS2801,TopLayer,69.9787mm,135.7259mm,69.9787mm,135.7259mm,65.5337mm,132.7009mm,90
|
||||
U3_PostIsolation12_Isolation12,PS2801,TopLayer,48.1331mm,135.7259mm,48.1331mm,135.7259mm,43.6881mm,132.7009mm,90
|
||||
U3_PostIsolation2_Isolation2,PS2801,TopLayer,266.5872mm,135.7264mm,266.5872mm,135.7264mm,262.1422mm,132.7013mm,90
|
||||
U3_PostIsolation3_Isolation3,PS2801,TopLayer,244.7416mm,135.7264mm,244.7416mm,135.7264mm,240.2966mm,132.7013mm,90
|
||||
U3_PostIsolation4_Isolation4,PS2801,TopLayer,222.8975mm,135.7372mm,222.8975mm,135.7372mm,218.4525mm,132.7122mm,90
|
||||
U3_PostIsolation5_Isolation5,PS2801,TopLayer,201.0519mm,135.7259mm,201.0519mm,135.7259mm,196.6069mm,132.7009mm,90
|
||||
U3_PostIsolation6_Isolation6,PS2801,TopLayer,179.2064mm,135.7259mm,179.2064mm,135.7259mm,174.7614mm,132.7009mm,90
|
||||
U3_PostIsolation7_Isolation7,PS2801,TopLayer,157.3608mm,135.7259mm,157.3608mm,135.7259mm,152.9158mm,132.7009mm,90
|
||||
U3_PostIsolation8_Isolation8,PS2801,TopLayer,135.5153mm,135.7259mm,135.5153mm,135.7259mm,131.0703mm,132.7009mm,90
|
||||
U3_PostIsolation9_Isolation9,PS2801,TopLayer,113.6697mm,135.7258mm,113.6697mm,135.7258mm,109.2248mm,132.7008mm,90
|
||||
U4,TPS54620,TopLayer,285.0727mm,99.9012mm,285.0859mm,99.8968mm,283.3227mm,99.1468mm,0
|
||||
U5,DS90LV048,TopLayer,260.4467mm,106.9009mm,260.4467mm,106.9009mm,258.1717mm,103.9509mm,90
|
||||
Y1,OSC3225,TopLayer,169.6720mm,90.2970mm,169.6720mm,90.2970mm,168.5720mm,89.4470mm,0
|
||||
|
Binary file not shown.
Binary file not shown.
Binary file not shown.
|
Before Width: | Height: | Size: 161 KiB After Width: | Height: | Size: 161 KiB |
Loading…
Reference in New Issue
Block a user